(Verilog)Moore和Mealy型有限状态机(FSM)实现序列检测(10010)

Moore和Moore型状态机区别:

Moore型:

    1. 输出只与当前状态有关;

    2. 所需状态数较多,响应速度慢

 

Mealy型:

    1. 输出与当前状态和当前输入有关;

    2. 所需状态数较少,响应速度快

 

用Moore型有限状态机实现序列10010的检测:

Verilog程序:

module seq_10010_Moore(
    input   wire    clk,
    input   wire    rst_n,
    input   wire    data_in,
    
    output  reg     out
    );

reg [2:0] state, state_next;

parameter  IDLE = 3'd0,
           S1 = 3'd1,
           S2 = 3'd2,
           S3 = 3'd3,
           S4 = 3'd4,
           S5 = 3'd5;

always@(posedge clk or negedge rst_n)
    if(!rst_n)
        state <= IDLE;
    else
        state <= state_next;

always@(data_in or state)
    case(state)
        IDLE:
            state_next = (data_in) ? S1:IDLE;
        S1:
            state_next = (data_in) ? S1:
  • 10
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值