Modelsim SE 10.5安装教程

ModelSim 是一种功能强大的硬件描述语言 (HDL,Hardware Description Language) 仿真和验证工具,可以单独仿真,也可以联合Quartus/Vivado等软件联合仿真,仿真速度快,广泛应用于数字电路设计和验证领域。

大学老师爱教VHDL语言,但是进入社会以后,基本都是Verilog HDL语言,简单易学,建议用Verilog 来仿真与做FPGA工程。

一、资源:

Modelsim_SE_10.5百度云链接与提取码:1ie8 
链接内容:

二、安装:

1、双击modelsim-win64-10.5-se.exe

2、选择安装路径(不要中文与空格)

等待安装:

3、确认添加可执行文件夹到系统路径中

4、不要安装Hardware(简称HW)Security Key Driver

咱们没有物理设备来破解Modelsim,因此不需要安装外在物理设备的驱动

5、安装完成退出

完成后先不要打开,破解后再说。

三、破解:

1、拷贝文件:MentorKG.exe、mgls.dll以及patch64_dll.bat

把 MentorKG.exe、mgls.dll以及patch64_dll.bat 一起拷贝到modelsim安装目录的win32或win64下,例如【D:\Modelsim_10.5\win64】。

2、去掉mgls.dll与mgls64.dll的只读属性

进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll两个文件,去掉只读属性。

3、产生LICENSE.TXT

运行patch64_dll.bat,产生license后,保存到【D:\Modelsim_10.5\win64】

Ctrl+Shift+s另存到【D:\Modelsim_10.5\win64】路径下,当然其他路径也可。

4、 新建用户环境变量【MGLS_LICENSE_FILE】

我的电脑》属性》高级系统设置》环境变量》新建用户环境变量【MGLS_LICENSE_FILE】,变量值为license放置的目录,如下图:

确定后退出即可。

5、验证破解成功:

打开modelsim,如果成功弹出Welcome to version 10.5界面则表明破解成功,如下图:

注意一:modeltech64_10.5路径下有一个默认的LICENSE.TXT文件

modeltech64_10.5路径下有一个默认的LICENSE.TXT文件,在设置MGLS_LICENSE_FILE用户变量时,不要错填该路径,否则会报错,如下图:

打开Modelsim报错如下:

也就是环境变量设置错误:

Unable to checkout a license. Make sure your license file environment variable

(e.g., LM LICENSE FILE)is set correctly and then run "lmutil lmdiag' to diagnose the problem.

注意二:成功的设置了用户环境变量但仍报错

成功的设置了用户环境变量【MGLS_LICENSE_FILE】为【D:\modeltech64_10.5\win64\LICENSE.TXT】,但是打开仍然报错如下图:

需要重启一下电脑!(重新生效环境变量,别问我怎么知道的、、、)

  • 32
    点赞
  • 81
    收藏
    觉得还不错? 一键收藏
  • 11
    评论
ModelSim SE简明操作指南 第一章 介 绍 本指南是为ModelSim5.5f版本编写的,该版本运行于UNIX和Microsoft Windows 95/98/Me/NT/2000的操作系统环境中。本指南覆盖了VHDL和Verilog模拟仿真,但是你在学习过程中会发现对于单纯的HDL设计工作而言,它是一个很有用的参考。ModelSim具备强大的模拟仿真功能,在设计、编译、仿真、测试、调试开发过程中,有一整套工具供你使用,而且操作起来极其灵活,可以通过菜单、快捷键和命令行的方式进行工作。ModelSim的窗口管理界面让用户使用起来很方面,它能很好的与操作系统环境协调工作。ModelSim的一个很显著的特点就是它具备命令行的操作方式,类似于一个shell有很多操作指令供你使用,给人的感觉就像是工作在Unix环境下,这种命令行操作方式是基于Tcl/Tk的,其功能相当强大,这需要在以后的实际应用中慢慢体会。 ModelSim的功能侧重于编译、仿真,不能指定编译的器件,不具有编程下载能力。不象Synplify和MAX+PLUS II可以在编译前选择器件。而且ModelSim在时序仿真时无法编辑输入波形,不象MAX+PLUS II可以自行设置输入波形,仿真后自动产生输出波形,而是需要在源文件中就确定输入,如编写测试台程序来完成初始化、模块输入的工作,或者通过外部宏文件提供激励。这样才可以看到仿真模块的时序波形图。另外对于Synplify来说,也只具有编译能力,但是比MAX+PLUS II可编译的verilog的内容要多,所以常常可以现在Synplify下编译,生成编译文件再送到MAX+PLUS II中使用。 ModelSim还具有分析代码的能力,可以看出不同的代码段消耗资源的情况,从而可以对代码进行改善,以提高其效率。
首先,我们需要知道ModelSim 10.5是由Mentor Graphics公司推出的一款HDL设计验证环境,具备强大的仿真性能与调试能力。它是唯一支持VHDL和Verilog混合仿真的仿真器,并提供了友好的调试环境和个性化的图形界面和用户接口。此外,它还集成了性能分析、波形比较、代码覆盖等众多调试功能。 下面是ModelSim 10.5安装教程: 1. 首先,下载ModelSim 10.5的安装文件并解压缩。 2. 打开解压后的文件夹,右击"modelsim-win64-10.5"文件,并选择"以管理员身份运行"。 3. 程序将启动安装向导,按照提示进行安装。你可以选择自定义安装选项,包括选择安装路径和其他可选组件。 4. 在安装过程中,你需要输入MGLS_LICENSE_FILE的值,它是指向License文件的路径。在输入框中输入"D:modeltech64_10.5LICENSE.TXT",然后点击"确定"。 5. 等待安装完成。安装完成后,你可以启动ModelSim 10.5并开始使用它进行HDL设计的仿真和调试工作。 希望这个安装教程能对你有所帮助。如果你有任何其他问题,请随时提问。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [modelsim se 10.5安装教程](https://blog.csdn.net/bxlover007/article/details/124261969)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [modelsim安装_Modelsim10.5安装教程](https://blog.csdn.net/weixin_43668420/article/details/119304031)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值