设计实例05-计数器设计

本文介绍了如何设计一个4位计数器,该计数器具备边沿触发和同步复位功能。通过设计、验证和仿真三个步骤,详细展示了4位计数器的工作原理,特别强调了在上升沿触发复位时的有效性。
摘要由CSDN通过智能技术生成

4位计数器

以下是使用三步法,仿真一个4位计数器

设计部分:4位计数器(边沿触发,同步复位)

以下代码:

module count4(clk, reset, cnt);
  input clk, reset;
  output[4:0] cnt;

  reg[4:0] cnt;
  always @(posedge clk) begin
    if(reset)
      cnt <= 0; //同步复位
    else
      cnt <= cnt+1'b1; //计数
  end  
endmodule

验证部分

以下代码:

`timescale 1ns/
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值