FPGA实例01——串口通信

1.串口通信是常用的通信方式,实例实现电脑串口和fpga之间的通信。

在这里插入图片描述

其中rx为接收数据,tx为发送数据

2.串口通信时序:发送 8 位数据 data 前,信号 rx 会先变为 0 并持续一段时间(起始位),然后发送data[0]、data[1]、直至发送完 data[7]。发送完毕后 rx会变为 1 并持续一段时间(停止位)。有效数据开始会有一段变 0 的信号,告诉FPGA 开始传送数据;结束会有一段变 1 的信号,告诉FPGA 此数据传送结束。
在这里插入图片描述

在这里插入图片描述
具体的代码设计分为接收发送

1.详细接收模块verilog设计
2.详细发送模块verilog设计

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值