Verilog除法器(32位无符号+带符号)

32位无符号除法器设计

恢复余数法:

恢复余数除法的基本思路是从“部分余数”中减去除数,如果结果为负(不够减),则恢复原来的部分余数,商0.
寄存器使用:

reg_r 存放被除数a
reg_b 存放除数b
reg_r 存放余数(初始清零)

具体做法:

做减法时,减数是reg_b中的除数,被减数是reg_r中的余数左移一位,最低位由reg_q(被除数)的最高位补充。为了能够判断相减结果的正负,减法器的位数要比除数的位数多出一位。若相减为正,则减法器输出的最高位为0;若相减为负,则减法器输出的最高位为1。

若相减结果为正,把相减结果写入reg_r(部分余数),reg_q的内容左移一位,最低位放入商1。
若相减结果为负,把被减数写入reg_r(恢复之前的余数),reg_q的内容左移一位,最低位放入商0。

循环上述减法,知道被除数全部移除reg_q为止。计算结束后reg_q中内容是商,reg_r中的内容是余数

Tips:

之所以能够一位一位减,是因为若一个数a可以除b,则a左移一位之后(相当于乘2),仍然可除b。

不恢复余数除法

在恢复余数除法算法中,如果部分余数为负,则要恢复原来的余数并左移。设部分余数为R,除数为B。恢复余数相当于R+B,左移相当于(R+B)*2。以上操作完成后进行下一轮的迭代,即从部分余数中减去B。我们有以下的等式:

(R+B)2-B=R2+B

所以不管相减结果是正是负,都把它写入reg_r,若为负,下次迭代不是从中减去除数而是加上除数。

代码如下:

`timescale 1ns / 1ps
module DIVU(
    input [31:00] dividend,
    input [31:00] divisor,
    input start,
    input clock,
    input reset,
    output [31:00] q,
    output [31:00] r,
    output reg busy
    );
    wire ready;
    reg [5:0] count;
    reg [31:00] reg_q;
    reg [31:00] reg_r;
    reg [31:00] reg_b;
    reg busy2,r_sign;
    assign ready=~busy&busy2;
    wire [32:0] sub_add=r_sign?({reg_r,q[31]}+{1'b0,reg_b}):
                                ({reg_r,q[31]}-{1'b0,reg_b});
    assign r=r_sign?reg_r+reg_b:reg_r;
    assign q=reg_q;
    
    always @(posedge clock or posedge reset)begin
    if(reset)begin
        count<=0;
        busy<=0;
        busy2<=0;
    end
    else begin
        busy2<=busy;
        if(start)begin
            reg_r<=32'b0;
            r_sign<=0;
            reg_q<=dividend;
            reg_b<=divisor;
            count<=0;
            busy<=1;
        end
        else if(busy)begin
            reg_r<=sub_add[31:0];
            r_sign<=sub_add[32];
            reg_q<={reg_q[30:0],~sub_add[32]};
            count<=count+1;
            if(count==31)busy<=0;
        end
    end
    end                            
endmodule

32位带符号除法器

带符号数不恢复余数除法

和不带符号不恢复余数思想一致。如果部分余数(第一次为被除数)和除数的符号相同,则减去除数,否者加上除数。这样做的结果导致求出的商为正数,最后还要根据符号对商进行调整。

代码如下:

`timescale 1ns / 1ps
module DIV(
    input [31:0] dividend,
    input [31:0] divisor,
    input start,
    input clock,
    input reset,
    output [31:0] q,
    output [31:0] r,
    output reg busy
    );
    wire ready;
    reg [5:0] count;
    reg [31:00] reg_q;
    reg [31:00] reg_r;
    reg [31:00] reg_b;
    wire [31:00] reg_r2;
    reg busy2,r_sign,sign;
    assign ready=~busy&busy2;
    wire [32:0] sub_add=r_sign?({reg_r,reg_q[31]}+{1'b0,reg_b}):
                                ({reg_r,reg_q[31]}-{1'b0,reg_b});
    assign reg_r2=r_sign?reg_r+reg_b:reg_r;
    assign r=dividend[31]?(~reg_r2+1):reg_r2;
    assign q=(divisor[31]^dividend[31])?(~reg_q+1):reg_q;
    
    always @(posedge clock or posedge reset)begin
    if(reset)begin
        count<=0;
        busy<=0;
        busy2<=0;
    end
    else begin
        busy2<=busy;
        if(start)begin
            reg_r<=32'b0;
            r_sign<=0;
            if(dividend[31]==1) begin
                reg_q<=~dividend+1;
            end
            else reg_q<=dividend;
            if(divisor[31]==1)begin
                reg_b<=~divisor+1;
            end
            else reg_b<=divisor;
            count<=0;
            busy<=1;
        end
        else if(busy)begin
            reg_r<=sub_add[31:0];
            r_sign<=sub_add[32];
            reg_q<={reg_q[30:0],~sub_add[32]};
            count<=count+1;
            if(count==31)busy<=0;
        end
    end
    end    
endmodule

以下是一个使用Verilog语言实现的32位带符号除法器的例子: ```verilog module signed_divider( input signed [31:0] dividend, input signed [31:0] divisor, output signed [31:0] quotient, output signed [31:0] remainder ); reg signed [31:0] dividend_reg; reg signed [31:0] divisor_reg; reg signed [31:0] quotient_reg; reg signed [31:0] remainder_reg; reg signed [31:0] quotient_temp; reg signed [31:0] remainder_temp; reg signed [31:0] divisor_neg; always @(dividend or divisor) begin dividend_reg <= dividend; divisor_reg <= divisor; divisor_neg <= -divisor_reg; end always @(posedge clk) begin if (reset) begin quotient_reg <= 0; remainder_reg <= 0; end else begin quotient_temp <= quotient_reg; remainder_temp <= remainder_reg; if (dividend_reg[31] == divisor_reg[31]) begin quotient_reg <= quotient_temp + 1; remainder_reg <= remainder_temp + divisor_neg; end else begin quotient_reg <= quotient_temp - 1; remainder_reg <= remainder_temp + divisor_reg; end end end assign quotient = quotient_reg; assign remainder = remainder_reg; endmodule ``` 该例子中,我们定义了一个名为`signed_divider`的模块,该模块接受一个32位的被除数和一个32位的除数作为输入,并输出商和余数。在模块内部,我们使用了寄存器来存储被除数、除数、商和余数的值。在时钟上升沿触发的时候,我们根据被除数和除数的符号来更新商和余数的值。如果被除数和除数的符号相同,我们将商加1并将余数减去除数的绝对值;如果被除数和除数的符号不同,我们将商减1并将余数加上除数的值。 请注意,这只是一个简单的例子,实际的带符号除法器可能需要更复杂的逻辑来处理各种情况和边界条件。具体的实现方式可能因设计要求而有所不同。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值