自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(70)
  • 资源 (8)
  • 问答 (1)
  • 收藏
  • 关注

原创 电场的旋度

2024-09-20 16:18:52 94

原创 LDO并联使用方案

2024-09-13 15:22:14 141

原创 低通滤波器

2024-09-02 10:04:39 472

原创 数字滤波器中的数字频率转换成模拟频率

Ω(数字信号频率),每Ts秒采样一次,采样时刻可以用t=n*Ts表示。采样值可以用x(nTs)表示。同一个采样值在数字域中标记为x[n],则x(nTs)=x[n]。f,频率w(弧度/秒)转换成频率f(Hz),采样时间间隔Ts可以用1/fs代替,fs是采样频率。fs (其中fs是采样频率)此滤波器π对应的模拟频率f。

2024-08-30 09:29:11 365

原创 数字信号的卷积

2024-08-29 15:21:21 78

原创 MC34063应用过程中电感和电容值的计算

2024-08-29 12:55:04 120

原创 【无标题】

所以,我们把R2和C1,C2阻抗加起来,如果阻抗等于0,那么整体并联的阻抗就是无穷大的了,即R2+1/sC1+1/sC=0,那么最终极点就是:s=-(1/C1+1/C2)/R2。除此之外,R2和C1串联之后,再与C2并联,也会在其它的频率点等于无穷大,有一个简单方法,只需要把R2和C1和C2的阻抗相加等于0,算出来的点就是极点,原理是什么呢?因为上面结构是并联的关系,首先,可以很容易观察到,当频率为0的时候,两个并联的支路阻抗都是无穷大,那么并联之后自然还是无穷大,即,0是这个补偿器的一个极点。

2024-08-28 14:15:30 279

原创 拉普拉斯逆变换求RC充电曲线

当t = 2RC时,Vt = 0.86Vu;当t = 3RC时,Vt = 0.95Vu;当t = 4RC时,Vt = 0.98Vu;当t = 5RC时,Vt = 0.99Vu;可见,经过3~5个RC后,充电过程基本结束。当t = RC时,Vt = 0.63Vu;

2024-08-22 08:53:03 89

原创 圆圈循环的数据检测是否有0点跨越

if(abs(sub_data) > (cyc / 2)) //如果两个点差的绝对值大于周期的一半,则认为发生了跳变,进行相应的调整。

2024-07-29 10:38:04 104

原创 铝电解电容使用注意事项

and ST, or contact us for a special design for your requirements.(对于充放电应用,请使用专为该用途设计的电容器,例如我们的闪光灯和频闪电容器,PF型,7P型和ST型,或联系我们以获得符合您要求的特殊设计)voltage VR exceeds the greater of 10%(电容器的峰峰电压除以电容器的额定电压VR,其值大于10%) or。

2024-07-22 13:45:45 272

原创 双脉冲测试电容容量计算

2024-04-24 16:59:16 337

原创 Linux内核加载到内存的过程

CPU 执行int 0×19中断(略去了很多BIOS代码功能),转到相应的中断服务程序入口,该中断服务程序的功能是:找到软盘(比较古老,可以理解为系统盘),并加载第 一扇区至0x07c00处,该扇区存储着linux 0.11的引导程序,对应着源文件linux0.11/boot/bootsect.s。现在bootsect.s还没有执行完, 还有一块代码要加载进来,还是调用 int 0×13中断 ,将从第6扇区开始的约240个扇区的system模块加载到内存0×10000位置。这一步完全靠硬件实现。

2024-02-05 08:42:57 378

原创 DCDDC芯片中电感的计算

DCDC开启到关断时刻时间是ton,电感上的电流变化是△i,电感上的电压变化是△U,则。从公式可以看出开算速度越快,电感量可以越小。

2024-02-03 14:08:49 929

原创 42步进电机驱动模块性能

最大256细分,最大2A电流,最大450转/分钟,24V供电。

2024-01-27 22:04:40 447

原创 光耦隔离参数解析

2023-11-30 16:51:33 458

原创 FPGA中的LUT查找表工作原理。

在RAM中填入1110,后续的不同AB组合Y输出对应的值,实现上面逻辑表达式的功能。

2023-10-16 16:37:37 355

原创 BMS设计基础知识

BMS设计时候要参考的电芯数据

2023-07-24 15:17:31 252

原创 单片机按键开机检测

单片机按键开机检测

2023-06-14 17:10:22 195

原创 积分电路中对电容的电介吸收性质的考虑

我们首先讨论电介质吸收, 也称为“浸润” , 有时也称为“电介质迟滞” , 这可能是我们了解最少而潜在破坏性最高的一种电容效应。典型方法是: 让电容充电 1 分钟以上, 然后短路 1 至 10 秒的建立时间, 最后让电容恢复约 1 分钟时间, 再测量残余电压(见参考文献 10)。实际操作中, 电介质吸收有多种表现形式, 例如: 积分器拒绝复位至 0, 电压频率转换器表现出异常非线性, 采样保持器表现出。一些设计中, 如果电介质吸收效应比较简单, 易于确定, 并且您愿意做一些微调, 则可以对其进行补偿。

2023-05-22 17:06:46 780

原创 FPGA verilog设计的MODBUS CRC算法

(3).检测相异或后的CRC寄存器的最低位,若最低位为1:CRC寄存器先右移1位,再与多项式A001H进行异或;(2).把第一个 8 位数据与 16 位 CRC 寄存器的低位相异或,把结果放于 CRC 寄存器;(1).预置 16 位寄存器为十六进制 FFFF(即全为 1) ,称此寄存器为 CRC 寄存器;(4).重复步骤 3 ,直到右移 8 次,这样整个 8 位数据全部进行了处理;(5).重复步骤 2 到步骤4,进行下一个 8 位数据的处理;

2023-05-21 22:34:01 1350

原创 simulink基础知识

simulink电气库中黑色的是强电的库,蓝色是弱电的库,不能混用。

2023-05-08 08:40:45 4878

原创 零点极点传递函数以及伯德图

零点极点传递函数以及伯德图

2023-03-24 14:21:25 1540

原创 verilog向RAM中写入读取数据

/读10个或者写10个。if(count

2023-03-16 22:44:31 1331

原创 FPGA基础知识

FPGA基础知识

2023-02-25 11:44:38 4748

原创 socket相关函数解析

socket函数解析

2023-02-19 14:56:53 391

原创 C标准库常用文件

C标准库常用文件

2023-02-08 21:37:13 557

原创 testbench常用语句

testbench常用语句

2023-02-05 16:26:30 1586

原创 RGB LCD基础

LCD

2023-01-23 20:24:39 313

原创 linux的启动流程

linux的启动流程

2023-01-12 10:47:10 62

原创 Linux基础知识

Linuxrc rcS rc.localProfile文件

2023-01-11 09:40:27 229

原创 飞思卡尔 HCS12(X)系列 MCU 的 Prm 文件中的逻辑地址和全局地址的转换

飞思卡尔 HCS12(X)系列 MCU 的 Prm 文件中的逻辑地址和全局地址的转换

2022-11-18 13:42:32 436

原创 STM32F103串口2DMA

STM32F103串口2DMA

2022-11-13 15:21:12 841

原创 串口发送float

串口发送float类型数据。

2022-11-07 15:31:00 342

原创 linux下读取ADC采样值,写入SD卡

linux下读取ADC采样值,写入SD卡

2022-10-24 22:15:12 752

原创 FPGA的串口接收程序

FPGA的串口接收程序

2022-10-17 21:58:37 441

原创 噪声过滤对信号增益带宽的设计

噪声过滤对信号增益带宽的设计

2022-10-14 15:45:46 95

原创 PCA85162驱动程序

PCA85162驱动程序

2022-10-13 14:44:15 214

原创 FPGA的串口发送

FPGA的串口发送

2022-10-11 21:45:45 126

原创 QT客户端连接服务器

QT客户端连接服务器

2022-10-10 22:29:59 1138

原创 改进的H桥电路

改进的H桥电路,高边不需要高电压

2022-10-08 16:27:03 132

Low Stray Inductance Busbar Design and Optimization for SiC-Base

Low Stray Inductance Busbar Design and Optimization for SiC-Base

2024-09-11

数字滤波器数字频率和模拟频率换算

数字滤波器数字频率和模拟频率换算

2024-08-30

MC34063应用分析电感,电容的选择

MC34063应用分析电感,电容的选择

2024-08-29

拉普拉斯逆变换求RC充电曲线

拉普拉斯逆变换求RC充电曲线

2024-08-22

磁编码器使用指南以及磁铁选型

磁编码器使用指南以及磁铁选型

2024-05-17

双脉冲测试电路要点分析

双脉冲测试电路要点分析

2024-04-24

IGBT门级驱动规范,驱动设计规范

IGBT门级驱动规范,驱动设计规范

2024-02-16

PCB设计减小寄生电感

PCB设计减小寄生电感

2024-01-12

电感中的电流计算,电感中的电流计算

电感中的电流计算

2023-11-07

高速电路PCB的电源地噪声设计

高速电路PCB的电源地噪声设计

2023-10-15

运算放大器稳定性分析8

运算放大器稳定性分析8

2023-10-12

运算放大器稳定性分析7

运算放大器稳定性分析7

2023-10-12

磁编码器的误差偏差分析总结

磁编码器偏差分析总结。

2023-09-19

4.1 电池的基本特性及分析方法

4.1 电池的基本特性及分析方法

2023-08-31

3.1 电池主要性能参数及测试方法

3.1 电池主要性能参数及测试方法

2023-08-31

4.6 电池管理系统的软件设计6

4.6 电池管理系统的软件设计6

2023-08-31

6.3 电池外部加热与放电加热技术

6.3 电池外部加热与放电加热技术

2023-08-31

BMS计算电池的SOP算法

BMS计算电池的SOP算法。

2023-08-30

BMS电池均衡系统设计规范

电池均衡系统设计规范。

2023-08-30

3.4 电池风冷系统设计案例分析(下)

3.4 电池风冷系统设计案例分析(下)

2023-08-30

3.3 电池风冷系统设计案例分析(中)

3.3 电池风冷系统设计案例分析(中)[

2023-08-30

3.2 电池风冷系统设计案例分析(上)

3.2 电池风冷系统设计案例分析(上)

2023-08-30

电池基本常识 100 问答

电池基本常识 100 问答

2023-08-30

BMS绝缘阻抗的计算方法

BMS的绝缘阻抗计算方法。

2023-08-28

2020 STM32F105双CAN开发板全套资料2020new

2020 STM32F105双CAN开发板全套资料2020new

2023-08-18

详细讲解接地与屏蔽技术

详细讲解接地与屏蔽技术。

2023-08-16

STM32F107修改定时器的RCR用于计算输出脉冲个数

STM32F107修改定时器的RCR用于计算输出脉冲个数

2023-08-11

BMS通讯协议V2.07

BMS通讯协议V2.07

2023-08-04

新国标:非车载充电机与BMS通信协议详解

新国标:非车载充电机与BMS通信协议详解

2023-08-04

MATLAB控制策略建模规范

MATLAB控制策略建模规范

2023-08-04

电池管理系统核心算法的发展

电池管理系统核心算法

2023-08-04

电池管理系统的软件设计

电池管理系统的软件设计

2023-08-04

3.8电池管理系统的硬件设计

3.10电池管理系统的硬件设计

2023-08-04

3.10电池管理系统的硬件设计

3.10电池管理系统的硬件设计

2023-08-04

电机S型加减速以及matlab测试

电机S型加减速以及matlab测试

2023-07-28

步进电机S型加减速算法

步进电机S型加减速算法。

2023-07-26

比亚迪 -唐动力电池介绍

比亚迪 唐动力电池介绍

2023-07-26

simulink开发BMS

simulink开发BMS

2023-07-24

BMS设计时候要参考的电芯数据

BMS设计时候要参考的电芯数据

2023-07-24

ISO7637-2国标英文版本

ISO7637-2国标英文版本。

2023-07-18

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除