FPGA文档阅读

FPGA的文档没有相应的基础还真不容易看懂,下面是B站上对FPGA文档的解读(本文非对文档解读,只是为个人记录


第三期:CycloneIV E最小系统板设计(一)从Datasheet上获取FPGA的基本参数_哔哩哔哩_bilibili

电源部份

核心电源(vcc) :主要是供给fpga用的,一般为1.2v

IO口电源(vccio):(为了适应不同的外围设备,而将IO分成了几个区(BANK),每个区可以设置不同的电压以适合相应的不同的外围设备;虽说可以设备不同的电压,一般也就几个1.2v 1.5v 3.3v

PLL电源; 又分为pll模拟电源与pll数字电源 ;模拟电源供给pll

辅助电源:主要用于

模式选择(msel)

好吧,b站上的比我讲的详细,要自己设计一个版子的话,可以上上面的视频上看,这里只做个记录;同时可以参考国产的FPGA手册进行加深理解

JTAG接口 

复位电路:

时钟电路:

参考:

FPGA:我的零基础学习路线(2022秋招已上岸)持续更新中~_fpga 学习路线-CSDN博客

设计处理器的可以参下方

第6期 第一阶段完成!| ADD指令测试 | RISC-V设计入门指北_哔哩哔哩_bilibili

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值