FPGA丨图像二值化

一、二值化图像介绍

二值图像(Binary Image)是指将图像上的每一个像素只有两种可能的取值或灰度等级状态,人们经常用黑白、B&W、单色图像表示二值图像。二值图像是指在图像中,灰度等级只有两种,也就是说,图像中的任何像素不是0就是1,再无其他过渡的灰度值。

二值图像经常出现在数字图像处理中作为图像掩码或者在图像分割、二值化和dithering的结果中出现。一些输入输出设备,如激光打印机、传真机、单色计算机显示器等都可以处理二值图像。

二、程序设计

module binarization(
 input clk ,
 input rst_n ,
 
 //图像处理前的数据接口
 input gray_vsync ,
 input gray_hsync ,
 input gray_data_valid ,// 数据有效信号
 input [7:0] gray_data_in, 

 //图像处理后的数据接口
 output binary_vsync ,
 output binary_hsync ,
 output binary_data_valid,// 数据有效信号
 output [7:0] binary_data_out 
 );

 parameter THRESHOLD = 8'd127; //二值化的阈值
 
 
 //reg define
 reg gray_vsync_d;
 reg gray_hsync_d;
 reg gray_data_valid_d;
 reg monoc; //monochrome(1=白,0=黑)

 //*****************************************************
 //** main code
 //*****************************************************
 assign binary_vsync = gray_vsync_d;
 assign binary_hsync = gray_hsync_d;
 assign binary_data_valid = gray_data_valid_d;
 
 //得到二值化的图像数据
 assign binary_data_out = {8{monoc}};

 //二值化
 always @(posedge clk or negedge rst_n) begin
	 if(!rst_n)
	 	monoc <= 1'b0;
	 else if(gray_data_in> THRESHOLD) //比较图像灰度值与阈值的大小
	 	monoc <= 1'b1;
	 else
	 	monoc <= 1'b0;
 end
 
 //延时 1 拍以同步时钟信号
 always@(posedge clk or negedge rst_n) begin
 if(!rst_n) begin
	 gray_vsync_d <= 1'd0;
	 gray_hsync_d <= 1'd0;
	 gray_data_valid_d <= 1'd0;
 end
 else begin
	 gray_vsync_d <= gray_vsync;
	 gray_hsync_d <= gray_hsync;
	 gray_data_valid_d <= gray_data_valid;
 end
end
endmodule

参考: 正点原子的《开拓者FPGA 开发指南》

  • 2
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA图像处理二值化是指使用FPGA芯片对图像进行处理,将图像转化为二值图像。二值图像是指每个像素只有两种可能的取值或灰度等级状态,通常用黑白、B&W、单色图像表示。在二值化过程中,需要将图像的灰度值与预设的阈值进行比较,比较结果以0和1的形式存入monoc中。如果灰度值大于阈值,像素点赋值为1,否则赋值为0。 以下是一个FPGA二值化处理的源码示例: ```verilog module binarization( input clk, // 时钟信号 input rst_n, // 复位信号 input ycbcr_vsync, // 输入YCbCr图像的垂直同步信号 input ycbcr_hsync, // 输入YCbCr图像的水平同步信号 input [7:0 luminance, // 输入图像的亮度值 output post_vsync, // 输出二值化后的垂直同步信号 output post_hsync, // 输出二值化后的水平同步信号 output post_de, // 输出二值化后的数据使能信号 output reg monoc // 输出二值化后的像素值 ); // reg定义 reg ycbcr_vsync_d; reg ycbcr_hsync_d; reg ycbcr_de_d; // 同步时钟信号 assign post_vsync = ycbcr_vsync_d; assign post_hsync = ycbcr_hsync_d; assign post_de = ycbcr_de_d; // 二值化处理 always @(posedge clk or negedge rst_n) begin if(!rst_n) monoc <= 1'b0; else if(luminance > 8'd64) // 灰度阈值 monoc <= 1'b1; // 低于阈值时赋1 else monoc <= 1'b0; // 高于阈值时赋0 end // 延时1拍以同步时钟信号 always @(posedge clk or negedge rst_n) begin if(!rst_n) begin ycbcr_vsync_d <= 1'd0; ycbcr_hsync_d <= 1'd0; ycbcr_de_d <= 1'd0; end else begin ycbcr_vsync_d <= ycbcr_vsync; ycbcr_hsync_d <= ycbcr_hsync; ycbcr_de_d <= ycbcr_de; end end endmodule ``` 以上是一个简单的二值化处理的FPGA图像处理代码,它通过比较输入图像的亮度值与预设的阈值来决定输出像素值是1还是0,并保持同步时钟信号不变,实现二值化处理。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA图像二值化](https://blog.csdn.net/qq_39507748/article/details/115293728)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [FPGA|数字图像处理实现口罩识别——二值化](https://blog.csdn.net/mintshoot_/article/details/127387021)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

耐心的小黑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值