Quartus 与Modelsim 联合调试仿真

新建Quartus设计工程或打开现有工程,并编写好verilog程序,然后进入工程设置,设置simulation,选择ModelSim-Altera,语言为verilog,步长自由设置,我这里设置1ns,点击ok。
在这里插入图片描述
在这里插入图片描述
编译工程,没有错误就继续。
在这里插入图片描述

Quartus 与Modelsim 联合调试仿真
选择Processing ->Start->Start Test Bench Templated Writer 然后就会生成一个与工程对应的,预置的TB文件,verilog语言的是.vt后缀名。生成的文件在消息窗口有显示,如图。
在这里插入图片描述
在这里插入图片描述
打开生成的那个.vt的TB文件。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

编辑TB文件。这里面的reg eachvec和@eachvec;注释掉。TB文件的书写方法参考网上教程,在这里我简单写一个。因为已经是生成了预设的TB文件,我们可以简单的往里面填写,非常容易。编辑完后点击保存。
在这里插入图片描述

Quartus 与Modelsim 联合调试仿真
复制XX_vlg_tst,进入工程设置,设置simulation。步骤如图。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

点击Tools->Start Simulation Tool-> RTL Simulation. 然后就会弹出Modelsim。
在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值