用 Quartus Ⅱ 调用 ModelSim 联合仿真

本文以4选1多路选择器为例,详细介绍了如何使用Quartus Ⅱ进行Verilog代码编写,并通过设置Assignments和选择测试程序,调用ModelSim进行联合仿真。在完成代码编写并确保无误后,创建测试程序,最后成功实现仿真,观察到的仿真波形展示了设计的功能。
摘要由CSDN通过智能技术生成

以4选1多路选择器为例:

Verilog描述(用case语句)

module data_selector41(sel,in,out);
    input [1:0] sel;
    input [3:0] in;
    output out;
    reg out;
    //若括号里均为0,则out必为0,完全可以不执行always语句
    always @(sel or in)
        begin
            case({sel[1],sel[0]})
                2'b00: out <= in[0];
                2'b01: out <= in[1];
                2'b10: out <= in[2];
                2'b11: out <= in[3];
                default: out <= 1'bx;
            endcase
        end
endmodule

①先运行一遍看有没有错误

②若程序不报错,新建一个Verilog HDL File文件,写测试程序

                

其测试程序为:

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值