Verilog 仿真调度机制

仿真时间:由仿真器维护的时间值,用来对仿真电路所用的真实时间建模。在一个仿真时刻,只有当前仿真时刻的所有事件全部执行完,仿真时间才会向前推进。Verilog 仿真按照仿真时间严格向前推进。

进程是 Verilog 语言中的独立执行单元,包括原语、模块、过程快(initial 和 always)连续赋值语句、过程赋值语句等。进程可以被激活(active)或者挂起(suspend),在每个仿真时刻仿真器总是在处理被激活的进程。

Verilog 的功能仿真是一种事件驱动的仿真。事件是指在特定时刻模型中数值的变化,而 Verilog 的语义则规定了一个事件导致其他事件发生的方式。线网或寄存器值的任何改变被认为是一个更新事件,进程的计算为计算事件。当一个更新事件发生后,所有对该事件敏感的进程会触发计算事件。计算结束后又会触发新的更新事件,二者循环往复,从而推动仿真时间的前进。

Verilog 在仿真时,所有的进程并行同时执行,其顺序是是随机的,仿真器可以根据自己的原则安排它们的执行顺序。这并不违背 Verilog 语言的并发性,因为在当前所有进程执行完毕之前,仿真时间是不会向前推进的。

执行一个进程时,遇到一个事件语句(“@”)、延时语句(“#”)或其他等待语句时,该进程将被挂起。直到“事件发生”、“已经过延时的仿真时间”或“等待语句的表达式为真”,进程被重新激活。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilogVerilog都是硬件描述语言,用于描述数字电路的行为和结构。它们在仿真调度机制方面有一些相似之处。 在Verilog中,所有的描述语句(连续赋值语句、行为语句块、模块实例化等)都是并行发生的。然而,由于仿真器是串行执行的,Verilog中的并行行为实际上是通过串行执行来模拟的。这意味着在仿真过程中,仿真器会按照一定的顺序逐条执行语句,分时执行。在所有并行进程执行完之前,仿真时间不会向前推进。\[3\] SystemVerilog也具有类似的并行行为和仿真调度机制。它引入了调度器(scheduler)的概念,用于控制并发执行的顺序。调度器根据一定的规则和优先级来决定哪些并发块应该被执行。这样可以模拟出更复杂的并行行为。\[1\]\[2\] 总结来说,SystemVerilogVerilog都使用了仿真调度机制来模拟并行行为。Verilog中的并行行为是通过串行执行来模拟的,而SystemVerilog引入了调度器来控制并发执行的顺序。 #### 引用[.reference_title] - *1* [SV的仿真调度机制](https://blog.csdn.net/weixin_39060517/article/details/115909613)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [SV的仿真调度机制以及阻塞非阻塞赋值的区别(用例子说明)](https://blog.csdn.net/dinghj3/article/details/122513314)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值