system verilog assert 延时变量

##variable:

  • 正常情况写 ##5,##后跟常数数字
  • 需要用到变量的延时可以这么写
property time_wait;
	int cnt=limit;
    @(posedge clk) $rose(a) |-> (cnt>0, cnt--)[*] ##1 cnt==0;
endproperty

assert property (time_wait);
//直接写 ##variable,报错:
// ##后需要跟常量
The use of a non-constant expression is not allowed in properties, sequences and assertions for cases such as delay and repetition ranges.
Please replace the offending expression by an elaboration-time constant.

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值