Questa Sim(逻辑测试)

在这里插入图片描述

Questa Sim(逻辑测试)

作者:Saint
掘金:https://juejin.im/user/5aa1f89b6fb9a028bb18966a
微博:https://weibo.com/5458277467/profile?topnav=1&wvr=6&is_all=1
GitHub:github.com/saint-000
知乎:https://www.zhihu.com/people/saint-80-61/columns

Questa Sim和ModelsSim是工业界最优秀的语言仿真器,两者都能用于FPGA的功能测试、性能测试、接口测试、时序测试、逻辑测试等测试类型,此节针对逻辑测试进行讨论,相同步骤查看功能测试相关描述。

逻辑测试
在这里插入图片描述
1.选择覆盖范围
全选源码右键选择Properties
在这里插入图片描述
Coverage下选中需要覆盖的内容,包括语句、分支、条件、表达式、状态机覆盖等在这里插入图片描述
对仿真文件进行重新编译
在这里插入图片描述
在Simulate下Start simulation的others中选中Enable memory profiling启用内存配置、Enable code coverage启用代码覆盖
在这里插入图片描述
选择Design的work中的仿真顶层文件
在这里插入图片描述
未执行仿真前分析框中代码行显示X
在这里插入图片描述
执行仿真操作后,分析框中部分代码行显示√
在这里插入图片描述
分析框中显示X处是激励向量未进入的语句
在这里插入图片描述
生成当前覆盖文件:在终端输入 add testbrowser 111.ucdb后保存当前覆盖文件:在终端输入fcover save 111.ucdb,在Verification Management Browser 添加ucdb覆盖文件
在这里插入图片描述
在这里插入图片描述
可以通过对Verification Management Browser 标签栏右键Change Column Visility,过滤显示覆盖项
在这里插入图片描述
由于激励代码没有表达式、分支语句,此处激励文件的这两项覆盖率为0
在这里插入图片描述
回到分析页面双击跳转至代码行得知语句未100%覆盖是由于仿真时间不够
在这里插入图片描述
因此调整仿真时间100000 ns至测试激励语句全覆盖
在这里插入图片描述
再次生成覆盖文件:在终端输入 add testbrowser 222.ucdb后保存当前覆盖文件:在终端输入fcover save 222.ucdb,在Verification Management Browser 添加ucdb覆盖文件,可以发现覆盖率提升
在这里插入图片描述
把源文件所有uut单元进行调试覆盖率
示例:
rx_data_ready 恒为1导致111行else分支进不去
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
再次生成覆盖文件:在终端输入 add testbrowser 333.ucdb后保存当前覆盖文件:在终端输入fcover save 333.ucdb,在Verification Management Browser 添加ucdb覆盖文件,可以发现覆盖率提升
在这里插入图片描述
修改rx_data_ready 恒为0在这里插入图片描述

在这里插入图片描述

再次生成覆盖文件:在终端输入 add testbrowser 444.ucdb后保存当前覆盖文件:在终端输入fcover save 444.ucdb,在Verification Management Browser 添加ucdb覆盖文件,可以发现覆盖率提升

Verification Management Browser全选ucdb文件后merge执行覆盖率融合操作
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
Tools工具栏下拉选择Coverage Report 后输出Text覆盖率文本
在这里插入图片描述
选择各单元输出,若输出总的覆盖率应选择Total Coverage
在这里插入图片描述
查看覆盖率文本可知uart_rx、uart_test、uart_tx单元覆盖率尚未达到100%在这里插入图片描述
因此我们需要依次对显示X的路径进行语句、分支、条件、表达式覆盖在分析界面可以选择筛查语句、分支、条件、表达式不符合项进行调试
在这里插入图片描述

对每次调试结果依次生成ucdb文件,直至merge后覆盖项达100%后输出覆盖率文本
在这里插入图片描述

  • 1
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Questasim是一款由Mentor Graphics公司提供的高度可定制化、适用于各种数字电路仿真的工具。下面我会介绍Questasim的搭建过程。 1. 确认系统要求 在搭建Questasim之前,请确保您的系统满足以下要求: - 操作系统:Windows、Linux或UNIX。 - 电脑处理器:Intel Pentium或AMD Athlon。 - 运行内存:至少1GB RAM,建议2GB以上。 - 磁盘空间:至少4GB空闲空间,建议8GB以上。 2. 下载Questasim 您可以在Mentor Graphics官网上下载Questasim。下载后,根据提示安装Questasim软件。 3. 添加环境变量 完成安装后,请将Questasim的安装路径添加到系统环境变量中,这可以让您在任何目录下都可以使用Questasim的命令。 4. 运行Questasim 打开终端或命令行窗口,输入以下命令来启动Questasim: vsim [options] [designfiles] 其中,designfiles参数是您要仿真的设计文件。 5. 创建仿真模型 为了在Questasim中仿真您的电路设计,您需要创建一个仿真模型。这个模型应该包含您的设计文件、仿真参数和仿真器设置等信息。 6. 运行仿真 完成仿真模型的设置后,您可以通过在Questasim中输入仿真命令来启动仿真。根据仿真模型中设置的仿真参数,您可以观察电路的运行情况,并进行调试和仿真结果的分析。 综上所述,搭建Questasim需要确认系统要求、下载软件、添加环境变量、创建仿真模型和运行仿真。在完成这些步骤后,您就可以轻松地使用Questasim对数字电路进行仿真了。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值