关于sv中竞争冒险的理解

1.采样和数据和数据驱动问题:

 上图中,clk2表面上跟随clk1的变化,但是实际上clk2滞后clk1一个delta-cycle(如下图);同时,数据d1的变化也在clk上升沿后的一个delta-cycle,与clk2同时变化。所以,由于各种可能性,clk与被采样数据之间可能只存在N个delta-cycle的延迟,那么采样可能会存在问题,例如上面的例子中,clk1和clk2对d1采样,在同样的时刻中得到不同的采样结果。为了避免这种采样数据中的竞争问题,有如下两种解决办法:

        1:在驱动时,添加相应的人为延迟。模拟真实的延迟行为,同时加大与变量之间的延迟,以此提高DUT使用信号的准确度和TB采样信号的可靠性。

        2.对于一些采样时仍然存在delta-cycle延迟信号,我们还可以依靠在采样事件前的某段时刻进行采样,来模拟建立事件的采样要求,确保采样的可靠性。

上述两种办法的本质就是使用时钟块(clocking)

clocking块基于时钟周期对信号进行驱动或采样方式,是的testbench不再苦恼于如何准确及时对信号驱动或者采样,消除信号竞争问题。

`timescale 1ns/1ps

module tb5;

    bit clk1,clk2 ;
    bit rstn ;
    logic [7:0] d1 ;

    initial begin
        forever #5 clk1 = ~clk1;
    end
    always @(clk1) clk2 <= clk1 ;
    initial begin
        #10 rstn <= 0 ;
        #20 rstn <= 1 ;
    end
    always @(posedge clk1 or negedge rstn) begin
        if(!rstn) d1 <= 0 ;
        else begin
            d1 <= d1 + 1 ;
        end
    end

    always @(posedge clk1) begin
        $display("@clk1 posedge %0t : d1 = %0d",$time,d1);
    end

    always @(posedge clk2) begin
        $display("@clk2 posedge %0t : d1 = %0d",$time,d1);
    end
endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值