UVM学习笔记记录Day1

前提:基于《UVM实战》的学习记录(UVM 1.1d)

1、验证平台通过driver给DUT施加各种激励,monitor收集DUT的输出并传递给scoreboard,验证平台中会模拟DUT的行为并给出预期结果即reference model,scoreboard会把DUT的实际输出与reference model的结果进行比较,判断DUT的输出是否符合预期。

2、UVM是一个库,在这个库中,几乎所有的东西都是使用类来实现的。当要实现一个功能时,实现应该想到的是从UVM的某个类派生出一个新的类,在这个新的类中实现所期望的功能。(p9)

p9其中一段代码如下:

function new(string name = "my_driver", uvm_component parent = null);
  super.new(name, parent);
endfunction

name和parent是每一个派生自uvm_component或其派生的类在其new函数中要指明的两个参数,此段代码来自uvm_driver,是由uvm_component派生而来,因此会有这两个参数。(p9)

(1)string name是用来声明当前例化的组件的名称,用来自动和它所在的父一级层次组合为组件的整个层次名称。

(2)uvm_component parent是用来指示所例化的父一级句柄,通常用"this"替代,即例化在当前父一级组件中;null是指该component为顶层,是uvm_top的子组件。

3、在最终的tb中,一定要包含如下两行

`include "uvm_macros.svh"

import uvm_pkg::*;

4、所有派生自uvm_component及其派生类的类都应该使用uvm_component_utils宏注册

class my_driver extends uvm_driver;

  `uvm_component_utils(my_driver)  //引入factory机制
  function new(string name = "my_driver", uvm_component parent = null);
    super.new(name, parent);
    `uvm_info("my_driver", "new is called", UVM_LOW);
  endfunction

endclass

加入fanctory机制之后,同时别忘了修改tb,my_driver的例化改为run_test("my_driver");

5、raise_objection和drop_objection总是成对出现,且前者总是在main_phase中第一个消耗仿真时间的语句中出现。

6、通过build_phase引入config_db机构,实现my_driver中的vif和tb中的input_if的传递。

7、在UVM中,左右的transaction都是从uvm_sequence_item派生;由于my_transaction是有生命周期的,因此是使用uvm_object_utils而不是ucm_component_utils。

8、所有的env派生自uvm_env,使用uvm_component_utils宏来实现factory的注册。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值