串-并转换

这篇博客详细介绍了如何在FPGA设计中使用移位寄存器技术进行串行到并行的数据转换。作者通过实例展示了如何利用inputclk时钟信号、rst_n复位信号、inputen使能信号以及inputdata_in数据输入,将单位数据逐位串行写入8位并行输出data_out的过程。
摘要由CSDN通过智能技术生成

串并转换

module serial_parallel(
    input           clk,
    input           rst_n,
	 input           en,
    input           data_in,   //一位输入
    output reg[7:0] data_out	//8位并行输出
    );

	 //移位寄存器方式
	always @(posedge clk or negedge rst_n) begin
		if (!rst_n)
			data_out <= 8'b0;
		else if (en == 1'b1)
			data_out <= {data_out[6:0], data_in};	//低位先赋值
		else
			data_out <= data_out;
	end

————————————————
转载自「FPGA小学生」
原文链接:https://blog.csdn.net/weixin_44586889/article/details/120282669

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值