FPGA的底层资源之DSP48E1的学习

FPGA对于数字信号处理(DSP)的应用非常友好,因为它们可以实现定制的高速并行算法。

DSP应用程序使用许多二进制乘法器和累加器,它们最好在专用DSP 硬核中实现。

XILINX 7系列FPGA有许多专用、全定制、低功耗DSP硬核,速度高、尺寸小,同时保持系统设计灵活性。

另外DSP硬核也应用于数字信号处理以外的许多应用,如宽动态总线移位器、存储器地址生成器、宽总线多路复用器和内存映射到I/O寄存器。

DSP48E1的整体结构:

 

DSP功能的一些亮点包括:

可动态旁路的25×18乘法器:

可用作同步递增/递减的48bit计数器

可选预加法器,用于优化对称滤波器应用,减少DSP切片需求;

双路24位或四路12位加法/减法/累加的单指令多数据(SIMD)运算单元:

用于级联的可选流水线和专用总线

 B信号的输入流水:

A和D信号的输入流水:

 inmode的惭怍方法:

 一般情况下inmode使用00101,让ABD三个端口对齐输入。

一般情况下opmode[3:0]使用0101,用于乘法操作 

 学会灵活使用INMODE,OPMODEALUMODE就掌握了DSP48E1的使用方法的。

 

B站学习视频连接:【FPGA底层资源之DSP48E1】 https://www.bilibili.com/video/BV1RT411c7e4?share_source=copy_web&vd_source=9736f43bc2eebc284f4fbbe5805247a7

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值