CRC校验Verilog代码在线生成

CRC校验Verilog代码在线生成

  在FPGA设计的过程中,在有些场景下,我们需要用到CRC(Cyclic Redundancy Check)校验码,比如以太网报文、信道编码等。对应的,我们需要编写相应的Verilog代码用于计算对应的CRC校验码。我们可以根据CRC校验的原理自己编写一个产生CRC校验码的Verilog模块,也可以通过在线网站进行直接生成相应的Verilog代码,之前网上推荐的大多是easisc.com,但是发现这个网站下的在线CRC校验Verilog代码生成器不存在了,于是找到以下替代网站,可以实现同样的功能。

Generator for CRC HDL code

  打开网站,界面如下图所示,按照自己的需求进行选择,最后生成Verilog代码或者模块。

在这里插入图片描述

  以标准的CRC-32为例,生成Verilog模块代码,如下图所示。

在这里插入图片描述

  顺便说一句,CRC-X标准可以查看维基百科下对CRC的介绍,也可以自行百度,下图是维基百科对CRC标准介绍的部分内容。至于在使用CRC时要用多长的CRC校验码,不同的设计会有对应的标准,在这里不进行介绍。

在这里插入图片描述

  • 12
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值