牛客网Verilog刷题——VL5

牛客网Verilog刷题——VL5

题目

  现在输入了一个压缩的16位数据,其实际上包含了四个数据[3:0][7:4][11:8][15:12],要求根据sel选择输出四个数据的相加结果,并输出valid_out信号(在不输出时候拉低),选择信息sel与输入d、输出out的关系如下表。

selout
0不输出且只有此时的输入有效
1输出d[3:0]+d[7:4]
2输出d[3:0]+d[11:8]
3输出d[3:0]+d[15:12]

在这里插入图片描述
在这里插入图片描述

答案

`timescale 1ns/1ns

module data_cal(
input clk,
input rst,
input [15:0]d,
input [1:0]sel,

output [4:0]out,
output validout
);
//*************code***********//
reg		[15:0]		sr_d;
reg		[4:0]		sr_out;
always @(posedge clk or negedge rst)
	if(!rst)
		sr_d <= 16'd0;
	else if(sel == 2'b0)
		sr_d <= d;
	else
		sr_d <= sr_d;
		
always @(*)
	if(!rst)
		sr_out <= 'd0;
	else 
		case(sel)
			2'b00: sr_out = 'd0;
			2'b01: sr_out = sr_d[3:0] + sr_d[7:4];
			2'b10: sr_out = sr_d[3:0] + sr_d[11:8];
			2'b11: sr_out = sr_d[3:0] + sr_d[15:12];
			default:sr_out = 'd0;
		endcase	

assign out = sr_out;
assign validout = (sel==2'b00) ? 1'b0 : 1'b1;

//*************code***********//
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值