ZYNQ AX7021基础开发梳理---(3)PS_PL联动,PS控制PL外设

ZYNQ AX7021基础开发梳理—(3)PS_PL联动,PS控制PL外设

一、概述

此系列文章记录ZYNQ AX7021开发流程梳理,包含vivado、sdk软件使用,PL工程创建及开发流程、PS SDK工程创建及开发流程,涉及三个demo,从vivado启动、创建工程,到PL独立点灯、PS串口打印(SDK初探)、最后PS控制PL点灯。

二、VIVADO启动

Vivado ubuntu下启动:
source /opt/Xilinx/Vivado/2017.4/settings64.sh
vivado &

在这里插入图片描述
在这里插入图片描述

三、PS_PL联动demo

3.1 创建vivado工程,工程名为psCtrlpl_LED

工程创建可以参见前两章文档,

工程创建完后添加block设计
在这里插入图片描述
添加ZYNQ处理器,Add IP图标
在这里插入图片描述
配置处理器外设,BANK电平
在这里插入图片描述
配置处理器DDR型号及位宽32bit
在这里插入图片描述
添加AXI GPIO
在这里插入图片描述
双击AXI GPIO 进行配置
LED实验故所有的GPIO设置为ALL OutPuts模式,控制2个LED故GPIO Width设置为2
在这里插入图片描述
点击Run Block Automation 自动连线
在这里插入图片描述
在这里插入图片描述
最终生成的连接图
在这里插入图片描述
点击Optimize Routing进行走线优化
在这里插入图片描述
双击GPIO 进行gpio标签名修改
在这里插入图片描述
创建HDL Wrapper文件
在这里插入图片描述
点击ok
在这里插入图片描述
在这里插入图片描述
添加引脚约束
在这里插入图片描述
创建文件,命名为led
在这里插入图片描述
xdc文件中添加引脚约束代码
set_property IOSTANDARD LVCMOS33 [get_ports {leds_tri_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds_tri_o[1]}]

set_property PACKAGE_PIN R7 [get_ports {leds_tri_o[0]}]
set_property PACKAGE_PIN A16 [get_ports {leds_tri_o[1]}]
在这里插入图片描述

3.2 SDK编写

生成bit文件
在这里插入图片描述
导出硬件
在这里插入图片描述
启动SDK
导入xgpio_example 引脚控制示例
在这里插入图片描述
下载运行
在这里插入图片描述

  • 14
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值