【IC设计】Chisel开发环境搭建

README

  1. 如果想快速搭建好环境,不关心具体的安装过程
    直接复制文章后面的【脚本附录】,以root用户在任意目录下执行该脚本
    它会帮助你完成安装java11、ubuntu换源、sbt换源、下载sbt,执行完以后是这样的:
    在这里插入图片描述

然后从安装步骤的【第9步】开始测试scala和chisel是否能正常使用即可

  1. 如果想从头逐步安装,从【安装步骤】第一步开始看即可

安装步骤

  1. 首先安装一个Ubuntu的虚拟机

  2. 然后给Ubuntu换个镜像,方便下载
    注意换源后使用apt-get update更新下

  3. 安装vim(可以不做)
    这里安装Vim是我感觉Ubuntu自带的vi编辑器似乎有问题,因为我按i进入【插入模式】并没有提示,所以安装vim进行替代。
    只关心Chisel安装的可以跳过这一步。

apt install vim
sudo vim /etc/vim/vimrc
#在vimrc文件结尾处添加
#显示行数
set number
#自动缩进
set autoindent
#光标高亮
set cursorline
set ruler
#Tab默认4格
set tabstop=4
  1. 安装JDK
    注意,在此之前先换源,参考文章
    此外,文章中apt换源使用的是bionic,这个参数需要根据lsb_release -c查看发行版本的结果来定
    如果lsb_release -c的结果是其他的,例如jammy,就要把所有bionic换成jammy

如果在apt换源时报错:

The following signatures couldn’t be verified because the public key
is not available

执行

sudo apt-key adv --keyserver keyserver.ubuntu.com --recv-keys [报错最后给出的key]

例如:5523BAEEB01FA116

sudo apt-get install default-jdk

安装完成后使用java -version测试结果为:
在这里插入图片描述

  1. 安装sbt构建工具
    sbt是Scala的构建工具,类似C的Make和Java的Maven,都是依赖管理工具。
echo "deb https://repo.scala-sbt.org/scalasbt/debian all main" | sudo tee /etc/apt/sources.list.d/sbt.list
echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee /etc/apt/sources.list.d/sbt_old.list
#curl依赖libcurl4
apt-get purge libcurl4
apt-get install curl
curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add
sudo apt-get update
sudo apt-get install sbt

安装完成后使用sbt --version查看版本:
在这里插入图片描述

  1. 修改sbt源
    默认sbt是使用maven官方库解决依赖,这里修改它的源。
    sbt解决依赖时会自动加载~/.sbt目录下的repositories文件。
#进入用户目录
cd  ~
#下面有一个.sbt目录
cd  .sbt

在.sbt目录下创建一个名为repositories的文件,不用后缀

[repositories]
local
aliyun: https://maven.aliyun.com/repository/central/
sbt-plugin-repo: https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases, [organization]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)[revision]/[type]s/[artifact](-[classifier]).[ext]

然后在/usr/share/sbt/conf/sbtopts
文件的最后添加-Dsbt.override.build.repos=true

  1. 安装VS Code并配置插件
    首先在软件商店中安装VS Code,打开VS Code,在View->extensions中安装Scala(Syntax)和Scala(Metals)
    在这里插入图片描述

  2. 安装make

apt install make
  1. Scala的HelloWorld测试
    新建HelloScala.scala
    输入:
object HelloScala{
    def main(args:Array[String]):Unit={
        println("helloscala")
    }
}

使用sbt run进行构建,会输出helloworld
在这里插入图片描述

  1. 测试Chisel
git clone https://github.com/schoeberl/chisel-examples.git
cd chisel-examples/hello-world
make
sbt test

测试成功:
在这里插入图片描述

脚本附录

该脚本完成了更改Ubuntu下载源、java11安装、更改sbt下载源、下载sbt

#备份sources.list
sudo cp /etc/apt/sources.list /etc/apt/sources.list.backup

#apt换源
echo "deb http://mirrors.aliyun.com/ubuntu/ bionic main restricted universe multiverse
deb-src http://mirrors.aliyun.com/ubuntu/ bionic main restricted universe multiverse

deb http://mirrors.aliyun.com/ubuntu/ bionic-security main restricted universe multiverse
deb-src http://mirrors.aliyun.com/ubuntu/ bionic-security main restricted universe multiverse

deb http://mirrors.aliyun.com/ubuntu/ bionic-updates main restricted universe multiverse
deb-src http://mirrors.aliyun.com/ubuntu/ bionic-updates main restricted universe multiverse

deb http://mirrors.aliyun.com/ubuntu/ bionic-proposed main restricted universe multiverse
deb-src http://mirrors.aliyun.com/ubuntu/ bionic-proposed main restricted universe multiverse

deb http://mirrors.aliyun.com/ubuntu/ bionic-backports main restricted universe multiverse
deb-src http://mirrors.aliyun.com/ubuntu/ bionic-backports main restricted universe multiverse" > /etc/apt/sources.list
sudo apt-get -qq update 

#安装jdk
sudo apt-get install -yqq default-jdk
java -version

#安装sbt构建工具
echo "deb https://repo.scala-sbt.org/scalasbt/debian all main" | sudo tee /etc/apt/sources.list.d/sbt.list
echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee /etc/apt/sources.list.d/sbt_old.list
sudo apt-get -qq purge libcurl4
sudo apt-get -qq install curl
curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add
sudo apt-get -qq update
sudo apt-get -qq install sbt

#sbt换源
mkdir ~/.sbt
cd ~/.sbt
echo "[repositories]
local
aliyun: https://maven.aliyun.com/repository/central/
sbt-plugin-repo: https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases, [organization]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)[revision]/[type]s/[artifact](-[classifier]).[ext]" > repositories 
echo "-Dsbt.override.build.repos=true" >> /usr/share/sbt/conf/sbtopts

#安装make
sudo apt install -yqq make

##sbt版本测试
sbt --version

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
Chisel 是一种基于 Scala 语言的硬件描述语言,它支持硬件描述与数字系统设计。与传统的硬件描述语言相比,Chisel 使用了更加现代化的语法结构,使得数字系统的设计更加简洁、灵活。Chisel 与数字系统设计 pdf 的关系在于,它可以帮助工程师们在数字系统设计过程中更加高效地进行开发,提高设计的灵活性和可重用性。 Chisel 语言的特点之一是支持硬件生成,这意味着它能够生成 Verilog 或者 VHDL 代码,从而可以与现有的数字系统设计工具兼容,同时也可以很好地与其他硬件描述语言一起协同工作。此外,Chisel 还提供了更加强大的抽象能力,支持参数化的模块化设计,从而可以更加高效地进行硬件设计和验证。 数字系统设计 pdf 是一本介绍数字系统设计原理和实践的教材,它包含了数字系统设计的基本概念、原理和方法。Chisel 与数字系统设计 pdf 的关系在于,它可以作为一种工具,帮助读者更好地理解和应用数字系统设计的知识。通过使用 Chisel 进行硬件描述和设计,读者可以在实践中加深对数字系统设计 pdf 中所学内容的理解,并将其应用到实际的硬件开发项目中去。 总的来说,Chisel 语言与数字系统设计 pdf 有着密切的关系,它们可以相互促进,帮助工程师和学习者更加高效地进行数字系统设计开发。通过掌握 Chisel 语言并结合数字系统设计 pdf 的知识,可以使数字系统设计的学习和实践变得更加顺畅和高效。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

农民真快落

我琢磨着也没人给我打赏呀。。

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值