chisel开发环境搭建(intellij)

介绍

chisel语言是一种硬件描述语言,是由美国加州大学伯克利分校基于scala语言开发的;学习这种语言,需要一定的编程基础,最好有java或scala语言基础;

目录

1.相关概述
	1.1 安装环境说明
	1.2 参考资料
2.安装intellij
	2.1 安装jdk1.8
	2.2 安装intellij
	2.3 申请学生免费授权
3.安装scala支持
4.安装chisel支持

1.相关概述

1.1 安装环境说明

<1> 操作系统版本: Ubuntu-16.04
<2> java版本:jdk-1.8
<3> intellij版本:2017.3.6 for Linux without JDK (tar.gz)
<4> scala-sdk版本:v2.11.8

1.2 参考资料

<1> intellij下载地址:https://www.jetbrains.com/idea/download
<2> 学生免费授权申请地址:https://www.jetbrains.com/zh/student/

2.安装intellij

2.1 安装jdk1.8:

<1>下载java se工具:https://www.oracle.com/technetwork/java/javase/downloads/jdk8-downloads-2133151.html
<2>解压:tar -xvf jdk-8u161-linux-x64.tar.gz
<3>设置路径:

vim ~/.bashrc	
	#JAVA
	export JAVA_HOME=$HOME/Java/jdk1.8.0_161/
	export JRE_HOME=$JAVA_HOME/jre
	export PATH=$JAVA_HOME/bin:$JRE_HOME/bin:$PATH
	export CLASSPATH=$JAVA_HOME/lib:$JRE_HOME/lib:.
source ~/.bashrc
javac -version

2.2 安装intellij

<1> sudo tar -xf ideaIU-2017.3.4-no-jdk.tar.gz
<2> sudo mv idea-IU-173.4548.28 /opt
<3> cd /opt/idea-IU-173.4548.28/bin
<4> ./idea.sh

2.3 申请学生免费授权

<1> 进入申请网站,点击“立即申请”,并按照要求填写“学校邮箱”和“个人信息”等资料,最终获得license code
<2> 打开intellij->configure->manage license->Activation code,输入license code

3.安装scala支持

<1> 使用intellij打开任意一份scala代码文件,intellij提示你“未安装scala支持,是否安装”,如下图,请选择安装;
在这里插入图片描述
<2> 创建新工程,点击”Create New Project“
在这里插入图片描述
<3> 先选scala工程,再选IDEA方式创建
在这里插入图片描述

<4> 设置工程名、工程地址、JDK版本、Scala SDK版本
在这里插入图片描述
<5> 如果sdk没有安装请选择Create->download,下载并安装
在这里插入图片描述
<6> 点击finish,创建scala工程成功
在这里插入图片描述
<7> 右击src->new->scala class,选择为object,命名为”helloworld“
在这里插入图片描述

<8> 编写程序,打印”hello world“, 并右击run,运行程序
在这里插入图片描述

4.安装chisel支持

<1> 首先按照 “3.安装scala支持” 的介绍,创建chisel工程,不过在第二步需要选择“sbt”,而不是“IDEA”
在这里插入图片描述
<2> 创建chisel工程后,打开build.sbt,并添加上下面三句,并等待库更新

scalaVersion := "2.11.12"
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.1.2"
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "1.2.3"

在这里插入图片描述
<4> 编写程序,打印”nihao“和“hello”, 并右击run,运行程序
在这里插入图片描述

  • 5
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 6
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值