testbenh里读取bmp文件和其他文件的方法

1. 将整体文件以Byte为单位读入到二维数组里

  • integer SampleImg_prt;
  • integer iCode_sample;
  • reg [7:0] Sample_DATA [0:10_000_000];
  • 读入bmp文件并将数据copy到二维数组里。
    在这里插入图片描述
  • 通过Byte单位来拼接出Bmp文件头。
    在这里插入图片描述

2. 通过fopen(),fread(),fseek(),ftell()来读取信息头。

  • 9
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值