【verilog_3】: 设计一个可以预置分频器,最大分频系数为 100000

设计一个可以预置分频器,最大分频系数为 100000

Design a preset frequency divider with a maximum frequency dividing coefficient of 100000.

author : Mr.Mao
e-mail : 2458682080@qq.com

module freq_div
#(
	parameter N = 17 
)
(			
	input clk,
	input reset_n,
	input [N-1:0] period_param,  //周期
	input [N-1:0] duty_param,   //占空比
	output reg div_out
);
	reg [N-1:0] cnt;
	
	always @(posedge clk or negedge reset_n)
		if(!reset_n)
			cnt <= 0;
		else if(cnt < period_param-1)
			cnt <= cnt + 1'b1;
		else
			cnt <= 0;
				
	always @(posedge clk or negedge reset_n)
		if(!reset_n)
			div_out <= 0;
		else if(cnt < duty_param-1)
			div_out <= 1'b1;
		else 
			div_out <= 0;

endmodule 
  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 6
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值