Verilog语言——20分频器

设计一个20分频器,输入clk  reset  输出 out

要求:clk如果频率为10M的话,out输出频率为500k,即20分频,reset为低电平复位。

module fenpin20(clk_in,clk_out,reset);

input clk_in,reset;

output clk_out;

integer count;

reg clk_out;

always @(negedge reset or posedge clk_in )

begin

if(!reset)

clk_out=0;

else

begin

if(count==20)

begin

clk_out=~clk_out;

count=0;

end

else

count=count+1;

end

end

endmodule

 

 

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值