自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Arist.

走在勇往直前的路上

  • 博客(4)
  • 资源 (20)
  • 收藏
  • 关注

原创 FPGA设计之时序约束(三)——伪路径及多周期路径约束

创建好时钟、定义好输入输出延迟后,就可以按照这些约束对设计进行时序分析了。默认的情况下,软件按照1T 原则分析所有需要检查的timing path。在综合、布局布线时,工具也会根据时序约束,尽可能使所有timing path都满足1T的要求。但工具也不是万能的,如果设计要求过高的话,有些timing path可能不能达到设计要求,这样不满足设计要求的timing path就会以timing vi...

2020-03-29 12:39:25 3667

原创 FPGA设计之时序约束(二)——划分时钟域

在创建好所有的时钟后,需要定义这些时钟之间的关系。Set Clock Groups在默认情况下,TimeQuest认为设计中所有的时钟都是同步的,并把所有的时钟都放在同一个group里。如果设计中有异步时钟,就需要用命令把异步时钟分组并定义出来。1.一般来说,由不同时钟源(晶振)产生的,时钟之间无法保证对应关系的时钟,必然属于异步时钟;2.有同一个时钟源并且由同一个PLL产生的,不同分频倍...

2020-03-29 11:22:40 1747

原创 FPGA设计之时序约束(一)——基准及派生时钟

本文按照时钟的产生顺序介绍了在FPGA时序约束时,.sdc文件常用的约束命令。Create Clock创建基准时钟。基准时钟是输入到FPGA中的原始输入时钟。与PLLs输出的时钟不同,基准时钟一般是由片外晶振或者时钟分配板产生的。定义基准时钟的意义是:可以让其他生成时钟和时序约束都以该基准时钟为参照。命令格式:create_clock -name {local_clk_i} -peri...

2020-03-20 19:03:06 2050

原创 FPGA设计之全局时钟网络

概述FPGA中的全局时钟网络资源,贯穿整个器件,为各个象限的各个资源类型(IO、LAB/ALM、乘法器、M9K等)提供服务。走全局时钟网络的信号具有低偏斜、高质量的特点。适合使用全局时钟网络的控制信号:譬如使能信号、CLK、RST_N、内部逻辑产生的锁存信号等,具有高扇出、低延时要求的信号。每个器件的全局时钟网络资源是有限的,譬如Cyclone 10 LP有20个,且用且珍惜。若工程要求使...

2020-03-31 00:04:32 3313

DC DC 介绍,图片版本的PDF

DC DC 介绍,图片版本的PDF

2022-04-01

计算机网络7参考答案.pdf

计算机网络第七版参考答案_谢希仁

2021-04-07

30_ces_svtb_2011.12.rar

systemVerilog的路由器验证实例,包括LAB1~LAB6,完整版,带注释。完整版,带注释。看不懂不要钱。

2020-07-13

crc-gen.rar

这是一个可以自动生成CRC校验码固件代码的软件,可以用于通信领域CRC校验模块的自动生成。

2020-06-17

40_CRC_test.rar

涉及到状态机(控制通路)和移位计算(数据通路)的混合,稍微有点复杂。 CRC串行计算的原理就是通过线性反馈移位寄存器进行输入数据移位,同时对应的生成多项式相应项数与对应数据位做异或反馈到输入端,当所有的数据位均移入时,移位寄存器的值就是CRC结果。

2020-06-16

Static timing analysis for nanometer designs_ a practical approach-Springer.rar

Static timing analysis for nanometer designs_ a practical approach-Springer PDF Rakesh Chadha, J. Bhasker (auth.)-Static timing analysis for nanometer designs_ a practical approach-Springer US (2009)

2020-06-11

FPGA异步电路处理.pptx

FPGA异步处理总结,包括快采慢慢采快,单bit多bit跨时钟域传输时的异步处理方法。

2020-06-11

15_async_fifo.rar

FPGA异步FIFOVerilog,参数化设计,带完整注释,保证对,欢迎下载

2020-06-10

24_comp_parallel_sort.rar

FPGA全并行排序Verilog,带自动化仿真脚本以及testbench,可以进行参数化修改。保证对。

2020-06-10

23_bubbling_sort.rar

FPGA冒泡排序Verilog实现,带自动化仿真脚本,带testbench文件,可以实现参数化修改。

2020-06-10

28_5div_clk.rar

FPGA参数化时钟分频模块设计,直接修改可以实现不同分频系数,带自动化仿真脚本以及testbench。

2020-06-10

38_keyboard_scan.rar

Verilog编写的矩阵键盘检测模块,包括按键消抖,三段式状态机检测按键,与按键编码定位,数码管显示。使用quartus编译成功。

2020-06-10

19_fsm_sqtest.rar

经典Verilog三段式状态机,实现密码破译,包含时序和功能仿真程序与脚本及matlab程序,保证对。包含时序和功能防止脚本及matlab程序,保证对。

2020-05-01

Verilog编程手册.rar

有两个PDF。1.Verilog HDL 华为入门教程,有华为公司推荐的代码编写方法和例程。2.一些平时易错的语法点总结。

2020-04-25

基于FPGA的静态时序分析.docx

以一个实例展开共计五部分的讲解,包含SDC语法,常用时序分析手段等,很实用很适合初学者。WORD可以编辑。

2019-10-31

静态时序分析与优化.docx

FPGA静态时序优化方法总结,是在quartus平台上进行的。自己总结的一些小技巧,欢迎大家下载~

2019-07-28

一级倒立摆MATLAB与Adams联合仿真,保证对,内附视频以及经验总结。

保证对,内附视频以及经验总结。 视频有步骤有演示过程,文档包括经验总结以及结论。

2019-04-01

quartus18.1_handbook

官方正版quartus18.1_handbook,全套共17篇PDF文件,欢迎下载~

2019-03-20

机械设计二级减速器课设

二级减速器课设_精品课设4.0不解释 公式全部编辑好直接更改参数计算即可 CAD图纸全套

2018-12-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除