FPGA设计之时序约束(三)——伪路径及多周期路径约束

16 篇文章 39 订阅 ¥19.90 ¥99.00
本文详细介绍了在FPGA设计中如何设置伪路径和多周期路径约束。伪路径主要应用于跨时钟域数据传输、测试逻辑、异步复位等场景,避免错误的时序分析。而多周期路径约束则适用于数据稳定延迟、时钟偏斜或异步时钟域交互,以优化路径的建立保持时间约束,提高设计效率。
摘要由CSDN通过智能技术生成


本文针对Intel FPGA。
创建好时钟并且按照异步规则分组后,就可以按照这些约束对设计进行时序分析了。
默认的情况下,软件按照launch沿、latch沿只间隔一个时钟周期(1T)的原则分析所有需要检查的timing path。在综合、布局布线时,工具也会根据时序约束,尽可能使所有timing path都满足1T的要求。但工具也不是万能的,如果设计要求过高的话,有些timing path可能达不到设计要求,这样不满足设计要求的timing path就会以timing violation(时序违例)的形式给出。
在很多情况下,设计的结构和功能并不要求所有的timing path都符合1T的要求,甚至有的timing path则根本没有时序要求,比如说异步复位信号。这时候,就可以对这一类的timing path设定相对宽松的时序约束或者不做时序要求,称之为timing exceptions。
常用的设定timing exception的方式有两种:false path和Multicycle path。

Set False Path

set_clock_groups 不可以完全代替set_false_path。因为伪路径并不一定是跨时钟域路径。
什么情况下需要用到set_false_path呢ÿ

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Arist9612

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值