自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Arist.

走在勇往直前的路上

  • 博客(13)
  • 资源 (20)
  • 收藏
  • 关注

原创 SV的面向对象编程2(OOP)

若想创建一个与现存的类很相似的新类,但是增加了一些新的变量和方法,那么久可以使用继承。继承可以从一个现存类获得其变量和子程序、继承通过增加新的特性提供了可重用性,不要编写额外代码、不需要更改基类就可使对现有类增加新的功能。...

2020-07-30 10:17:14 603

原创 SV的面向对象编程1(OOP)

0.OOP概述OOP即object-oriented programming,能够使用户创建复杂的数据类型,并且将它们跟使用这些数据类型的程序紧密结合。在更抽象的层次建立测试平台和系统级模型,通过调用函数来执行动作(而不是改变信号的电平)。如此一来,测试平台跟设计细节分开,更加易于维护和复用。1.OOP术语类(class):包含变量和子程序的基本构建块。对象(object):类的一个实例。句柄(handle):指向对象的指针。一个OOP句柄好像一个对象的地址,但是保存在一个只能指向单一数据类型的

2020-07-28 13:40:13 889 1

原创 AD9548时钟发生器配置

1.使用时首先安装时钟发生器驱动,并连接电源、与PC连接的配置线。正常情况下,此时时钟发生器的的红灯常亮、绿灯闪烁。打开相应的配置软件,正常如下所示:2.关闭多余界面,留下如图所示的主配置界面3.点击上图所示的DPLL Configuration下的Click For Details。按照如下配置,我们选择时钟分配板为晶振输入,输入频率50M,Feed Back Divide 20,得到一个1000Mhz的输出系统时钟。4.参数设定完毕后点击Calibrate System..

2020-07-26 20:45:17 936

原创 ARM中断

1.STM32 中断系统回顾(Cortex-M)以STM32为例介绍中断的一般概念:中断向量表:中断向量表是一系列中断服务程序入口地址组成的表。这些中断服务程序(函数)在中断向量表中的位置是由厂商定好的,当某个中断被触发以后就会自动跳转到中断向量表中对应的中断服务程序(函数)入口地址处。中断向量表在整个程序的最前面。中断向量表偏移: ARM 处理器都是从地址 0X00000000 开始运行的,通过中断向量表偏移就可以将中断向量表存放到任意地址。内嵌向量中断控制器(NVIC):Nested Vec

2020-07-24 15:06:36 797

原创 matlab常用命令解析(修订版)

串口相关%删除所有串口,防止串口一直被MATLAB占用delete(instrfindall); 格式转换%加载.mat文件并转换成方便处理的矩阵格式EDGE_TDC_NTC = cell2mat(struct2cell(load('TDC_NTC.mat'))); 矩阵形式重构%将矩阵A重构为m*n的矩阵,元素是从A中按列读取的,并且按列排放到D中D=resha...

2020-07-22 17:44:40 436

原创 Makefile脚本实例

CROSS_COMPILE ?= arm-linux-gnueabihf-NAME ?= ledcCC := $(CROSS_COMPILE)gccLD := $(CROSS_COMPILE)ldOBJCOPY := $(CROSS_COMPILE)objcopyOBJDUMP := $(CROSS_COMPILE)objdumpOBJS := start.o main.o//定义变量 OBJS, OBJS 包含着要生成 ledc.bin 所需的材料: start.o 和 m

2020-07-19 15:58:46 382

原创 好的HDL代码风格有哪些

总体思路:分而治之、硬件思维。HDL代码应该采用与工艺无关的方式编写,好处是用不同ASIC库都能实现同样功能。这点对IP复用意义重大。多计数器法其实不好,因为依赖于器件延时。时钟相关逻辑门控时钟、分频器等时钟逻辑以及复位逻辑,应该在最先确定下来,保存在一个子模块中。之后不再去更改,也可以增量编译。避免模块中出现多时钟驱动。因为这种情况综合器优化会有困难,也有助于物理级处理时钟扭斜的问题。当然有些时候这种情况避免不了,比如跨时钟域信号传输。对于此,应该将同步逻辑写成一个单独的模块。给时钟有意义的

2020-07-18 18:45:07 246

原创 二选一门控时钟设计

1.二选一门控时钟电路设计2.设计代码RTL设计module clk_gating( input sel_i, input en_i, input clk1_i, input clk2_i, output clk_o); wire clk1_ando,clk2_ando;reg clk1_dff1,clk1_dff2;reg clk2_dff1,clk2_dff2;assign clk1_ando = clk1_i && clk1_dff2;assig

2020-07-18 14:14:25 648

原创 IC验证之覆盖率

1.功能覆盖率概述功能覆盖率是衡量哪些设计特征已经被测试程序测试过的一个指标。下图展示了如何使功能覆盖率收敛的大体思路。若没达到100%覆盖率,但是覆盖率一直在增加,那就继续运行更多随机种子;若已经覆盖率稳定则需要考虑修改约束;只有当修改约束来随机仿真去覆盖特定区域最后的边沿情况会耗费太久的时候,才有必要写定向测试。如运行不通过,设计中存在漏洞使得仿真失效,则必须丢弃覆盖率指标。2.覆盖率分类2.1代码覆盖率代码覆盖率衡量的是测试对于设计测试的有多彻底,而非针对验证计划。有可能当前

2020-07-17 14:13:44 4768

原创 基于Qsys的SDRAM控制器

1.Intel FPGA中SDRAM控制器IP示意图PLL phase shift:使得输入SDRAM控制器和外部SDRAM芯片的时钟有一定的相位偏移(需要看具体SDRAM的手册),满足时序要求。Avalon-MM Slave Port:通过在Qsys中配置NIOS CPU和SDRAM控制器连线完成对SDRAM的数据和命令写入。address:地址线,在此处会把SDRAM的行列段地址映射成一种连续的地址形式;data:数据线,宽度与SDRAM数据线位宽相同;Control:读写信号;wai

2020-07-16 10:57:26 1136

原创 Verilog备忘录

运算优先级顺序

2020-07-14 17:09:22 170

原创 C语言备忘录

printfprintf("%3.0f %6.1f\n",a,b);//3.0f表示打印的浮点数据占3字符的宽度,且不带小数;//6.1f表示打印的浮点数据占6字符的宽度,带1位小数;%o %c %x %s分别代表八进制数/字符型/十六进制数/字符串#define# define 名字 替换文本在代码中出现上述名字(要求没有被引号包围,本身是独立的一个字符),则用替换文本代替名字。意义是用有含义的字符代表空洞的数字,方便理解。名字必须以字母开头,可以包括字母和数;替换文本不限制;.

2020-07-14 09:40:39 509

原创 IntelFPGA_DCFIFO_IP

dcfifo常用于跨时钟域数据传输,有两种工作模式,normal mode/ showahead mode,本文主要通过仿真对比这两种模式的区别。1.showahead mode参数设定intended_device_family = "Cyclone 10 LP",lpm_numwords = 128, //FIFO深度在位宽为写位宽32bit时为128,响应的以读位宽16bit来看深度为256lpm_showahead = "ON", //模式设置lpm_type = "dcfifo_m.

2020-07-04 11:58:04 4427

DC DC 介绍,图片版本的PDF

DC DC 介绍,图片版本的PDF

2022-04-01

计算机网络7参考答案.pdf

计算机网络第七版参考答案_谢希仁

2021-04-07

30_ces_svtb_2011.12.rar

systemVerilog的路由器验证实例,包括LAB1~LAB6,完整版,带注释。完整版,带注释。看不懂不要钱。

2020-07-13

crc-gen.rar

这是一个可以自动生成CRC校验码固件代码的软件,可以用于通信领域CRC校验模块的自动生成。

2020-06-17

40_CRC_test.rar

涉及到状态机(控制通路)和移位计算(数据通路)的混合,稍微有点复杂。 CRC串行计算的原理就是通过线性反馈移位寄存器进行输入数据移位,同时对应的生成多项式相应项数与对应数据位做异或反馈到输入端,当所有的数据位均移入时,移位寄存器的值就是CRC结果。

2020-06-16

Static timing analysis for nanometer designs_ a practical approach-Springer.rar

Static timing analysis for nanometer designs_ a practical approach-Springer PDF Rakesh Chadha, J. Bhasker (auth.)-Static timing analysis for nanometer designs_ a practical approach-Springer US (2009)

2020-06-11

FPGA异步电路处理.pptx

FPGA异步处理总结,包括快采慢慢采快,单bit多bit跨时钟域传输时的异步处理方法。

2020-06-11

15_async_fifo.rar

FPGA异步FIFOVerilog,参数化设计,带完整注释,保证对,欢迎下载

2020-06-10

24_comp_parallel_sort.rar

FPGA全并行排序Verilog,带自动化仿真脚本以及testbench,可以进行参数化修改。保证对。

2020-06-10

23_bubbling_sort.rar

FPGA冒泡排序Verilog实现,带自动化仿真脚本,带testbench文件,可以实现参数化修改。

2020-06-10

28_5div_clk.rar

FPGA参数化时钟分频模块设计,直接修改可以实现不同分频系数,带自动化仿真脚本以及testbench。

2020-06-10

38_keyboard_scan.rar

Verilog编写的矩阵键盘检测模块,包括按键消抖,三段式状态机检测按键,与按键编码定位,数码管显示。使用quartus编译成功。

2020-06-10

19_fsm_sqtest.rar

经典Verilog三段式状态机,实现密码破译,包含时序和功能仿真程序与脚本及matlab程序,保证对。包含时序和功能防止脚本及matlab程序,保证对。

2020-05-01

Verilog编程手册.rar

有两个PDF。1.Verilog HDL 华为入门教程,有华为公司推荐的代码编写方法和例程。2.一些平时易错的语法点总结。

2020-04-25

基于FPGA的静态时序分析.docx

以一个实例展开共计五部分的讲解,包含SDC语法,常用时序分析手段等,很实用很适合初学者。WORD可以编辑。

2019-10-31

静态时序分析与优化.docx

FPGA静态时序优化方法总结,是在quartus平台上进行的。自己总结的一些小技巧,欢迎大家下载~

2019-07-28

一级倒立摆MATLAB与Adams联合仿真,保证对,内附视频以及经验总结。

保证对,内附视频以及经验总结。 视频有步骤有演示过程,文档包括经验总结以及结论。

2019-04-01

quartus18.1_handbook

官方正版quartus18.1_handbook,全套共17篇PDF文件,欢迎下载~

2019-03-20

机械设计二级减速器课设

二级减速器课设_精品课设4.0不解释 公式全部编辑好直接更改参数计算即可 CAD图纸全套

2018-12-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除