imut_du FPGA作业1_步骤详解

一、新建工程
1、选择file—>new project wizard

在这里插入图片描述
next
在这里插入图片描述
3、更改文件夹地址并命名
在这里插入图片描述

4、如无文件导入直接跳过
在这里插入图片描述
5、按下图选择器件
在这里插入图片描述
6、如需仿真则需在simulation中的None改为simulation
在这里插入图片描述
finish
二、新建VHDL文件
File —>new---->VHDL File
在这里插入图片描述
复制粘贴如下代码

LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY light IS
PORT(
x1, x2 : IN STD_LOGIC ;
f : OUT STD_LOGIC);
END light ;
ARCHITECTURE LogicFunction OF light IS
BEGIN
f <= (x1 AND NOT x2) OR (NOT x1 AND x2) ;
END LogicFunction ; 

保存(默认名字不修改)

在左侧菜单栏选择compile design
在这里插入图片描述
右键—>start
此时报错如下
在这里插入图片描述
问题原因:
在这里插入图片描述
文件名不一致
将其修改一致后编译成功
在这里插入图片描述
三、仿真
file—>new–>university program VWF在这里插入图片描述
点击ok后得到下图,左侧空白处右键单击,然后选择insert node or bus
在这里插入图片描述

点击node finder
在这里插入图片描述

选择在程序编写文件中查找,点击list,点击">>"将找到的输入输出添加到右侧
在这里插入图片描述
之后一直ok得到结果如下
在这里插入图片描述
因为有两个输入,故有四种输入组合状态
通过工具栏的高低电平设置波形输入状态如下图
在这里插入图片描述
点击simulation —>run functional simulation得到结果如下

在这里插入图片描述
由仿真结果可知该电路是一个判不一致电路,当两个输入不一样时结果为1,两个输入一致时结果为0,与上课的电路一致。
在这里插入图片描述

工程文件获取地址:
工程连接
提取码:1111
复制这段内容后打开百度网盘手机App,操作更方便哦

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

位沁

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值