基于SPI接口的OLED数据显示

一、SPI协议

SPI(Serial Peripheral interface),是串行外围设备接口,是一种高速的,全双工,同步的通信总线。SPI接口主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。

二、OLED数据显示

(一)实验环境

硬件:
温湿度传感器:AHT20
0.96寸OLED显示屏模块
开发板:STM32F103系列
软件:keil

(二)实现功能

1、显示自己学号和姓名

代码用的是0.96寸SPI_OLED模块配套资料包
在oledfont.h文件中添加点阵汉字,这里是16x16的。

"十",0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x04,0xFF,0xFE,0x01,0x00,
	0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,
"汀",0x40,0x00,0x30,0x04,0x17,0xFE,0x00,0x40,0xA0,0x40,0x60,0x40,0x20,0x40,0x0A,0x40,
	0x10,0x40,0x20,0x40,0xE0,0x40,0x20,0x40,0x20,0x40,0x20,0x40,0x21,0x40,0x20,0xA0,

效果显示:
在这里插入图片描述

2、显示AHT20温度和湿度

部分代码:

void read_AHT20(void)
{
	uint8_t   i;
	for(i=0; i<6; i++)
	{
		readByte[i]=0;
	}

	//-------------
	I2C_Start();

	I2C_WriteByte(0x71);
	ack_status = Receive_ACK();
	readByte[0]= I2C_ReadByte();
	Send_ACK();

	readByte[1]= I2C_ReadByte();
	Send_ACK();

	readByte[2]= I2C_ReadByte();
	Send_ACK();

	readByte[3]= I2C_ReadByte();
	Send_ACK();

	readByte[4]= I2C_ReadByte();
	Send_ACK();

	readByte[5]= I2C_ReadByte();
	SendNot_Ack();
	//Send_ACK();

	I2C_Stop();

	//--------------
	if( (readByte[0] & 0x68) == 0x08 )
	{
		H1 = readByte[1];
		H1 = (H1<<8) | readByte[2];
		H1 = (H1<<8) | readByte[3];
		H1 = H1>>4;

		H1 = (H1*1000)/1024/1024;

		T1 = readByte[3];
		T1 = T1 & 0x0000000F;
		T1 = (T1<<8) | readByte[4];
		T1 = (T1<<8) | readByte[5];

		T1 = (T1*2000)/1024/1024 - 500;

		AHT20_OutData[0] = (H1>>8) & 0x000000FF;
		AHT20_OutData[1] = H1 & 0x000000FF;

		AHT20_OutData[2] = (T1>>8) & 0x000000FF;
		AHT20_OutData[3] = T1 & 0x000000FF;
	}
	else
	{
		AHT20_OutData[0] = 0xFF;
		AHT20_OutData[1] = 0xFF;

		AHT20_OutData[2] = 0xFF;
		AHT20_OutData[3] = 0xFF;
		printf("lyy");

	}
	/*通过串口显示采集得到的温湿度
	printf("\r\n");
	printf("温度:%d%d.%d",T1/100,(T1/10)%10,T1%10);
	printf("湿度:%d%d.%d",H1/100,(H1/10)%10,H1%10);
	printf("\r\n");*/
	t=T1/10;
	t1=T1%10;
	a=(float)(t+t1*0.1);
	h=H1/10;
	h1=H1%10;
	b=(float)(h+h1*0.1);
	sprintf(strTemp,"%.1f",a);   //调用Sprintf函数把DHT11的温度数据格式化到字符串数组变量strTemp中  
    sprintf(strHumi,"%.1f",b);    //调用Sprintf函数把DHT11的湿度数据格式化到字符串数组变量strHumi中  
	GUI_ShowCHinese(16,00,16,"温湿度显示",1);
	GUI_ShowCHinese(16,20,16,"温度",1);
	GUI_ShowString(53,20,strTemp,16,1);
	GUI_ShowCHinese(16,38,16,"湿度",1);
	GUI_ShowString(53,38,strHumi,16,1);
	delay_ms(1500);		
	delay_ms(1500);
}

完整代码百度云链接:https://pan.baidu.com/s/1HUk4dO8yB4lBAQjMvpd_bQ
提取码:ea3b
结果显示
在这里插入图片描述

3、滑动显示长字符

添加点阵字符:

"请",0x00,0x40,0x40,0x40,0x27,0xFC,0x20,0x40,0x03,0xF8,0x00,0x40,0xE7,0xFE,0x20,0x00,0x23,0xF8,0x22,0x08,0x23,0xF8,0x22,0x08,0x2B,0xF8,0x32,0x08,0x22,0x28,0x02,0x10,/*"请",0*/
"不",0x00,0x00,0x7F,0xFC,0x00,0x80,0x00,0x80,0x01,0x00,0x01,0x00,0x03,0x40,0x05,0x20,0x09,0x10,0x11,0x08,0x21,0x04,0x41,0x04,0x81,0x00,0x01,0x00,0x01,0x00,0x01,0x00,/*"不",0*/
"要",0x00,0x00,0x7F,0xFC,0x04,0x40,0x04,0x40,0x3F,0xF8,0x24,0x48,0x24,0x48,0x3F,0xF8,0x02,0x00,0x7F,0xFC,0x04,0x20,0x08,0x40,0x1C,0x80,0x03,0x80,0x06,0x70,0x38,0x08,/*"要",0*/
"刷",0x00,0x02,0x3F,0xC2,0x20,0x42,0x20,0x42,0x3F,0xD2,0x22,0x12,0x22,0x12,0x22,0x12,0x3F,0xD2,0x52,0x52,0x52,0x52,0x52,0x52,0x93,0x42,0x12,0x82,0x02,0x0A,0x02,0x04,/*"刷",0*/
"屏",0x00,0x00,0x3F,0xF8,0x20,0x08,0x20,0x08,0x3F,0xF8,0x24,0x10,0x22,0x20,0x2F,0xF8,0x22,0x20,0x22,0x20,0x3F,0xFC,0x22,0x20,0x42,0x20,0x44,0x20,0x84,0x20,0x08,0x20,/*"屏",0*/

其它几个字在上面
代码显示:
水平移动

  OLED_WR_Byte(0x2E,OLED_CMD);        //关闭滚动
  OLED_WR_Byte(0x27,OLED_CMD);        //水平向左或者右滚动 26/27
  OLED_WR_Byte(0x00,OLED_CMD);        //虚拟字节
  OLED_WR_Byte(0x00,OLED_CMD);        //起始页 0
  OLED_WR_Byte(0x07,OLED_CMD);        //滚动时间间隔
  OLED_WR_Byte(0x07,OLED_CMD);        //终止页 7
  OLED_WR_Byte(0x00,OLED_CMD);        //虚拟字节
  OLED_WR_Byte(0xFF,OLED_CMD);        //虚拟字节  	    
  OLED_WR_Byte(0x2F,OLED_CMD);        //开始滚动

main函数

#include "delay.h"
#include "sys.h"
#include "oled.h"
#include "gui.h"
#include "test.h"
int main(void)
{	
	delay_init();	    	       //延时函数初始化	  
	NVIC_Configuration(); 	   //设置NVIC中断分组2:2位抢占优先级,2位响应优先级 	
	OLED_Init();			         //初始化OLED  
	OLED_Clear(0);             //清屏(全黑)
	OLED_WR_Byte(0x2E,OLED_CMD);        //关闭滚动
    OLED_WR_Byte(0x27,OLED_CMD);        //水平向左或者右滚动 26/27
    OLED_WR_Byte(0x00,OLED_CMD);        //虚拟字节
	OLED_WR_Byte(0x00,OLED_CMD);        //起始页 0
	OLED_WR_Byte(0x07,OLED_CMD);        //滚动时间间隔
	OLED_WR_Byte(0x07,OLED_CMD);        //终止页 7
	OLED_WR_Byte(0x00,OLED_CMD);        //虚拟字节
	OLED_WR_Byte(0xFF,OLED_CMD);        //虚拟字节
    TEST_Chinese();
	OLED_WR_Byte(0x2F,OLED_CMD);        //开启滚动
}

显示数据函数

void TEST_Chinese(void)
{
    GUI_ShowCHinese(16,20,16,"请不要刷屏",1);
	delay_ms(1000);
}

效果显示:
在这里插入图片描述

三、参考

1.stm32通过I2C接口实现温湿度(AHT20)的采集
2.0.96寸OLED在STM32f103上实现滚动显示长字符

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 我不太清楚oled spi verilog是什么意思,但我可以尝试解释一些相关的概念。OLED(有机发光二极管)是一种新型显示技术,它使用有机材料来发光。SPI(串行外围接口)是一种低速数据传输技术,它提供快速的数据传输速率。Verilog是一种用于设计数字电路的硬件描述语言。 ### 回答2: OLED是有机发光二极管的缩写,是一种新型的显示技术。SPI是串行外围接口的缩写,是一种通信协议。Verilog是一种硬件描述语言,用于设计、建模和仿真数字电路。 当我们提到"OLED SPI Verilog"时,可能指的是使用Verilog语言编写的控制OLED显示屏的SPI接口的电路。使用SPI接口控制OLED显示屏可以通过串行通信传输数据和命令,实现对显示内容的控制。SPI接口的特点是简单、高效,并且可以在较短的线缆上实现高速通信。 通过Verilog语言编写的OLED SPI控制电路包括OLED显示屏控制器和SPI传输控制器两个部分。OLED显示屏控制器负责解析接收到的指令和数据,并将其转换为能驱动OLED显示的信号。SPI传输控制器负责与主控器进行通信,并处理和传输接收到的数据和指令。 编写OLED SPI控制电路的Verilog代码需要对OLED显示屏和SPI协议有一定的了解,以确保正确地控制显示屏并实现与主控器的通信。相应的代码需要包括将SPI接口OLED显示屏进行连接的电路连接代码,以及处理和解析SPI传输的指令和数据的代码。 总结来说,"OLED SPI Verilog"是指使用Verilog语言编写的控制OLED显示屏的SPI接口的电路。这种电路通过SPI接口与主控器通信,并驱动OLED显示显示指定的内容。通过合理编写Verilog代码,可以实现对OLED显示屏的控制和数据传输。 ### 回答3: OLED是一种新型的有机发光二极管显示技术,具有高亮度、高对比度、快速响应、广视角和低功耗等特点。SPI(Serial Peripheral Interface)是一种串行外设接口通信协议,常用于连接主控芯片与外部设备,如显示屏。 OLED SPI Verilog即是使用SPI协议来控制OLED显示屏的方式,并使用Verilog语言进行数字电路设计和实现。 在OLED SPI Verilog中,Verilog语言用于实现OLED控制器的逻辑电路设计。SPI主控芯片将通过SPI总线与OLED控制器进行通信,通过发送特定的命令和数据来控制OLED显示屏的各个像素点的亮度和显示内容。 Verilog语言可以用于设计和描述数字电路,并实现底层的控制逻辑。通过编写相应的Verilog代码,可以定义OLED显示屏的像素点排列方式、扫描行、列和页等参数,以及相应的控制逻辑。 SPI协议定义了OLED控制器与主控芯片之间的通信规则。主控芯片通过CLK(时钟),MOSI(主设备发送数据),MISO(主设备接收数据)和SS(从设备片选)等信号与OLED控制器进行通信。通过在CLK的上升沿发送数据,可以实现对OLED显示屏的亮度和图像数据的传输。 OLED SPI Verilog实现的功能包括将主设备发送的数据通过SPI协议传输给OLED控制器,使其能够正确地控制OLED显示屏的亮度和显示内容。使用SPI和Verilog语言,可以方便地设计和实现控制OLED显示屏的数字电路,并实现高质量的图像和信息显示。 总结来说,OLED SPI Verilog是指使用SPI协议和Verilog语言来设计和实现OLED显示屏的控制电路,实现对其亮度和内容的控制。这种方式可以高效地传输数据,并且具有灵活性和可扩展性,适用于各种显示屏应用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值