FPGA只读存储器IP核----ROM的设计方法(用来存储数据,可以节约成本,也可以保护数据)

1.创建新的文件(rom ip核的创建,以及.mif文件的创建)

创建一个工程后,选择如图的文件

创建一个工程后,选择如图的文件

设置位宽和深度

设置位宽和深度

右击想要填充的数据,选择custom fill cells

右击想要填充的数据,选择custom fill cells

然后如图配置开始地址等信息

然后如图配置开始地址等信息

配置完成后,就会出现如图的数据

配置完成后,就会出现如图的数据

在右侧的IP catalog中搜索rom 就双击如图的rom:1

在右侧的IP catalog中搜索rom 就双击如图的rom:1

保存ip核如图

保存ip核如图

点击browse ,加入之前的.mif文件

点击browse ,加入之前的.mif文件

一直next到如图,勾选inst后缀的文件,完成rom设置

一直next到如图,勾选inst后缀的文件,完成rom设置

2.编写rom的控制模块,用于产生递增的地址信号

3.编写顶层模块

系统RTL框架图如图

系统RTL框架图如图

4.测试文件的编写

工程文件上传至qq群:868412045

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值