Quartus ROM,RAM计组实验

在这里插入图片描述
在这里插入图片描述ROM,输入输出。
ROM,在上升沿到来时,她这一时刻读入就直接输出,这个之间是没有缓冲那种存储过程的。是哪个就是哪个。
RAM的原理图:
这个图片是借鉴的
老师的目的是使用动态输入。手动输入控制脉冲按键然后读入.mif文件里面的data,和地址,这里的wren是使能写入的意思,是把data里的数据写入地址里面。高电位使能。在ROM里面的mif文件在这里同样有用,就是说存入在mif文件里(up不确定,我感觉是这样。)
在这里插入图片描述
在这里插入图片描述
输出结果
输出结果
ps:第四个RAM工作原理的图片是借鉴
https://blog.csdn.net/grow_mature/article/details/8554465
里面的。

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值