quartus工具篇——ROM ip核

quartus工具篇——ROM ip核

1、ROM简介

FPGA中的ROM(Read-Only Memory)是一种只读存储器,主要用来存储固化的初始化配置数据。FPGA ROM的特性主要有:

  1. 预编程初始化 - ROM在FPGA编程时就已经写入了初始值,这些值在整个工作周期保持不变。
  2. 初始化配置 - ROM通常用来存储FPGA的初始配置文件或者开机激励向量。
  3. 单端口访问 - ROM通常只提供数据输出接口,不可写入。
  4. 高密度 - 利用定制流程可以实现非常高密度的ROM。
  5. 封闭保密 - ROM存储的数据无法被读取,保证了配置或代码的保密性。
  6. 提高可靠性 - ROM存储更加稳定可靠,不会像RAM丢失数据。
  7. 加速启动 - ROM存储的初始化文件可以加速FPGA的启动配置。
  8. 降低成本 - ROM的制造成本低于RAM。

在许多需求可靠启动和保密的应用中,FPGA通过内置ROM提供了有效的特性实现。它主要作为一种只读非易失的初始化存储器使用。

2、ROM IP核的配置

在quartus右上栏搜索ROM,双击打开并命令,如下图:

image-20230724211404243

设置内存单元大小和需要的内存单元

image-20230724211745658

按照下图进行配置

image-20230724212209636

添加mif文件

image-20230724212259822

勾上选项,进行生成模块实例文件

image-20230724212340271

3、mif文件生成

部分简单的Mif文件我们 可以自己插入数据,比较复杂的就需要应用程序进行生成

简单的数据:

在quartus中生成mif文件

image-20230724212546082

和我们在ip核配置的内存大小和内存个数要相同

image-20230724212601184

自行编辑文件,如下图我编辑的结果

image-20230724212714707

c语言生成Mif文件,我这里gpt生成的效果可能不好,可以自行去网上找一个

#include <stdio.h>

int main() {

  FILE *fptr;
  fptr = fopen("ram_init.mif","w");
  
  fprintf(fptr,"WIDTH=16;\n"); 
  fprintf(fptr,"DEPTH=128;\n\n");

  int i;
  for(i=0; i<128; i++) { 
    fprintf(fptr,"%02X : %04X;\n", i, i*2);
  }

  fclose(fptr);

  return 0;
}

mif文件弄好后重新编辑ip核即可

3、仿真代码编写

// Copyright (C) 2018  Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Intel Program License 
// Subscription Agreement, the Intel Quartus Prime License Agreement,
// the Intel FPGA IP License Agreement, or other applicable license
// agreement, including, without limitation, that your use is for
// the sole purpose of programming logic devices manufactured by
// Intel and sold by Intel or its authorized distributors.  Please
// refer to the applicable agreement for further details.

// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to  
// suit user's needs .Comments are provided in each section to help the user    
// fill out necessary details.                                                  
// *****************************************************************************
// Generated on "07/24/2023 19:18:12"
                                                                                
// Verilog Test Bench template for design : t5
// 
// Simulation tool : ModelSim-Altera (Verilog)
// 

`timescale 1 ns/ 1 ns
module t5_vlg_tst();

parameter SYS_CLK = 20;
reg clk;
wire[7:0] read_data;
reg[4:0] address = 1'b0;
                    
always #(SYS_CLK/2) clk = ~clk;
integer i;
initial begin
    clk = 1'b0;
    for(i = 0;i<31;i=i+1)begin
        #(SYS_CLK*2)
        address = address+1'b1;
    end
    #(20*SYS_CLK);
    $stop;
end                                                                             

ROM ROM_inst (
    .address ( address ),
    .clock ( clk ),
    .q ( read_data )
    );
                                   
endmodule

4、仿真结果

image-20230724212955825

5、结果

ROM配置较为简单,但也是非常实用的,由于本人水平有限,只能作为自己一个复习的标准,可能描述的不是很详细,大家可以观看这个视频,描述的很详细

05_IP核之ROM使用讲解_哔哩哔哩_bilibili

  • 5
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,可以根据用户的需要进行灵活的硬件设计和开发。在FPGA开发中,IP核(Intellectual Property Core)扮演着非常重要的角色。 IP核是指已经设计好并且经过验证的可复用硬件模块,可以被集成到FPGA的设计中。通过使用IP核开发者可以快速构建复杂的硬件功能,减少重复设计工作,提高开发效率。 使用IP核进行FPGA开发需要以下几个步骤: 1. 硬件需求分析:根据开发需求,分析确定所需要的IP核功能和接口,如通信模块、图像处理模块等。 2. IP核选择:根据功能需求,在FPGA开发工具中选择合适的IP核。常见的FPGA开发工具有Xilinx Vivado、Altera Quartus等。 3. IP核配置:根据实际应用需求,对所选的IP核进行参数配置和接口定义。开发工具提供了直观的界面,方便开发者进行配置。 4. IP核连接:将所选的IP核与其他硬件模块进行连接,以构建完整的系统。可以通过开发工具提供的图形化界面或者HDL(硬件描述语言)编程的方式进行连接。 5. 系统验证和调试:完成IP核的连接后,进行系统级的验证和调试工作。通过仿真和实际测试,确保IP核在整个设计中的正确性和稳定性。 6. 部署到FPGA:验证通过后,将设计好的IP核生成位流文件(bitstream)并下载到目标FPGA中。 通过使用IP核开发者可以快速构建复杂的FPGA设计,并且随着技术的不断发展,可以在开发工具中获得越来越多的IP核选择。同时,FPGA开发也需要熟悉硬件设计和IP核的原理,以及掌握相关的开发工具和调试方法,才能高效地进行设计和开发工作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值