Verilog的信号总是出现X

问题

在用assign给某一个wire型信号赋值时,仿真结果中这个信号中有很多的X甚至全部都是X,这时需要检查一下是否使用了不止一条assign语句给这个信号赋值,如果是使用多个assign来给一个赋值,那么会导致这个变量的值是不定值,因为verilog的赋值是并行的,仿真软件不知道你要用谁给它赋值

解决方法

去掉多余的赋值语句,如果有初始化语句,可以尝试将wire型的信号分成需要在过程中赋值和只需初始化赋值这两部分,然后分别对这两部分进行赋值

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值