Verilog时钟分频

直接看代码

`timescale 1ns / 1ps
//
// Module Name: DivClk
// Description: 通过对输入时钟进行分频,产生所需频率的时钟信号
//
// Dependencies: 此模块被顶层模块调用
//
//

module divclk(
    input Clk_50MHz,        //该输入端口为50MHz时钟信号,来自主调模块
    output reg Clk_1KHz,    //该输出端口为1KHz分频信号,提供给其他模块使用

    );
    reg [31:0] count1=0;     //定义一个32位寄存器变量,用于存放计数值

    always @ (posedge Clk_50MHz)    //在50MHz时钟上升沿时刻执行下列代码
        begin
            if(count1==25000)   //计数值达到2.5万时使输出信号Clk_1KHz反转,即可得到1KHz输出信号
                begin
                    Clk_1KHz = ~Clk_1KHz;   //输出反转
                    count1 = 0;    //计数归0,重新计数
                end
            else
                count1 = count1+1;  //计数值加1
        end

   
  • 3
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值