同步FIFO和异步FIFO的verilog代码

这篇博客介绍了使用Verilog语言设计双端口RAM和两种类型的FIFO——同步FIFO与异步FIFO的详细过程。首先,展示了双端口RAM的模块定义,然后分别阐述了同步FIFO和异步FIFO的工作原理及其实现,包括地址转换、空满标志的判断和跨时钟域的数据传输。最后,给出了异步FIFO的简单验证和测试代码。
摘要由CSDN通过智能技术生成

博主也是初学verilog,若有错误,敬请指出!

1.双端口RAM

module ram2port #(parameter ADDR = 4, DEPTH = 2**ADDR, WIDTH = 8) (rst,in,out,write_clk,read_clk,write_en,read_en,read_addr,write_addr);

input [WIDTH - 1 : 0] in;
input write_clk;
input write_en;
input read_en;
input read_clk;
input rst;
input [ADDR - 1 : 0] read_addr;
input [ADDR - 1 : 0] write_addr;
output reg [WIDTH - 1 : 0] out; 

reg [WIDTH - 1] mem [DEPTH - 1 : 0];

always@(posedge write_clk or negedge rst) begin
	if(~rst) begin
		for(integer i = 0 ; i < DEPTH ; i = i + 1) begin
			mem[i] <= 0;
		end
	end
	else if(write_en)
		mem[write_addr] <= in;
end

always@(posedge read_clk) begin
	if(read_en)
		out <= mem[read_addr];
	else 
		out <= 0;
end

endmodule

2.同步FIFO

module synfifo( clk,  // syn clock
		rst,  //reset
		write_en,
		read_en,
		out,		
		in,
		empty,
		full); 

parameter WIDTH = 8;
parameter DEPTH = 16;
parameter ADDR = 4;

input clk;
input rst;
input write_en;
input read_en;
input[WIDTH:1] in;//
  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值