计数器verilog代码(quartus II)

模十计数器

该计数器具有暂停和异步复位功能,初学verilog,如有错误,敬请指出!

1.verilog代码

module counter10(clk,rst,pause,out,counter);

input clk;
input rst;
input pause;
output out;
output counter;

reg[3:0] counter;

always@(posedge clk or negedge rst)begin

	if(~rst) 
			counter <= 4'b0000;
	else if(pause) 	
			counter <= counter;
	else if(counter == 4'b1001)	
			counter <= 4'b0000;
	else 
			counter <= counter + 1;
end

assign out = counter[3] & counter[0];

endmodule

2.testbench

`timescale 1ns/1ns
module counter10tb;

reg clk;
reg rst;
reg pause;
wire out;
wire[3:0] counter;
initial begin

	clk = 0;
	rst = 0;
	pause = 0;
	#22 rst = 1;
	#500 pause = 1;
	#100 pause = 0;
	#1000 $finish;

end

always #10 clk = ~clk;

counter10 u(.clk(clk),.rst(rst),.pause(pause),.out(out),.counter(counter));

endmodule 

3.波形
波形
4.原理图
netlist

  • 6
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值