自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(23)
  • 资源 (4)
  • 收藏
  • 关注

原创 使用vivado2022.2与VCS_MX_2018编译xilinx 仿真库

根据xilinx官方手册,可以查到vivado支持的VCS的版本信息,这里注意手册里明确给出了vivado2022所支持的VCS版本为2021.09,那么要想使用vivado2022.2(更高版本的vivado),如何正确编译通过仿真库呢。

2024-03-25 10:55:40 752

原创 FPGAMCS文件生成与固化

FPGA烧写

2023-11-14 10:21:03 740

原创 vivado实现策略种类及功能描述

2023-07-11 10:21:57 578

原创 HDMI1.4输出彩条显示含verilog代码实现

HDMI1.4输出彩条显示含verilog代码实现

2023-05-22 23:35:53 749

原创 Sobel算子-边缘检测(含工程代码)

sobel边缘检测

2023-05-14 15:45:19 786

原创 BCD与二进制相互转换(含Verilog代码)(一)

bcd与二进制互转

2023-05-14 15:44:43 2075

原创 BCD码与二进制互转(含Verilog代码)(二)

bcd转二进制

2023-05-14 15:43:16 579

原创 基于FPGA的图像采集之一 USB2.0

USB2.0协议

2023-05-13 15:00:56 1123

原创 基于FPGA的图像采集之二 GEN_FRAME(成帧)模块

成帧模块

2023-05-13 14:57:17 572 1

原创 基于FPGA的图像采集之三 SDRAM控制器 (二)

写文档时没有写出b)情况的时序,理所当然的认为情况差不多,后面修改代码时更不想画时序,根据仿真波形改,由于信号实在太多,有些信号相互之间又打拍,导致代码修改起来很痛苦。3.中间修改代码时,将某些组合逻辑改为时序逻辑,状态机以及一些变量的时序都要修改,而某些信号的漏改,导致命令没有对应上。b)被刷新打断 跳转回w_req_state状态。发送ACT命令,并延迟tRCD=3 cycles,act_end信号有效,并跳转到READ状态。等待,检测到w_req_lvds信号有效,跳转到W_REQ_STATE状态。

2023-05-13 14:51:39 147

原创 基于FPGA的图像采集之三 SDRAM控制器 (一)

sdram

2023-05-13 14:47:34 585 2

原创 波形系列之正弦波发生器-含仿真代码及工程

正弦波发生器的verilog实现

2023-05-13 14:31:30 1500

原创 波形系列之混合波

混合波发生器

2023-05-13 14:26:43 810 2

原创 数字下变频的实现

数字下变频的实现

2023-05-13 14:22:56 741

原创 频域脉冲压缩

频域脉冲压缩

2023-05-13 14:19:17 1825

原创 基于vcs+uvm+xilinx ip的仿真平台的半自动化搭建

仿真平台的半自动化搭建

2023-05-13 13:50:24 1277 3

原创 PRBS码型生成器&验证器结构

prbs生成器&验证器结构图

2023-05-13 13:32:41 839

原创 手把手教你使用transciver-QPLL的介绍及线速率的修改

QPLL的介绍并结合代码讲解

2023-04-22 11:34:11 1232

原创 手把手教你使用transciver-ip核的配置

手把手教你使用transciver-ip核的配置

2023-04-21 12:25:19 1882

原创 矩阵系列:LU分解

1矩阵LU分解模块1.1 LU分解数学表达首先要明确的是,矩阵的LU分解是有局限性的,即LU分解只针对非奇异矩阵。那么什么是非奇异矩阵呢?即各阶顺序主子式不为零。(1)高斯消去法LU分解的思想来源于高斯消去法,拿方阵为例(因为本项目中要处理的就是方阵)。将一个n*n的方阵A,通过左乘一系列消去矩阵(笔者自己起的名字,便于理解)。使得((((n个L1*(((L2*((…(LnA)=U U为上三角矩阵。之所以这样化简是因为上三角矩阵便于求解方程组(当然这不是本文的主题,只

2021-07-10 11:33:38 12695

原创 矩阵系列:浮点转定点

浮点转定点是个比较基础的知识点吧,所以作为开篇,简单的举几个小例子,通过例子,相信大家都能掌握它。简单说明一下,浮点包括 符号位|指数位|小数位。浮点的类型包括 单精度浮点数|双精度浮点数。这里用到的是单精度浮点数。单精度浮点数:1位符号位,8位指数位,23位尾数位。也有说是24位尾数位,这里笔者认为这样划分,更便于说明(我的地盘听我的,嘿嘿)。浮点转定点的步骤如下:a)将浮点数划分 符号位|指数位|小数位;b)计算指数位与偏差位的值;单精度浮点数的偏差值固定为127.c)计算并得到定点数。看例子

2021-07-10 11:27:30 735

原创 矩阵系列:矩阵乘法

上一篇说到一个基本的小知识点浮点到定点的转换,这一篇来说说矩阵乘法。矩阵乘法和下一篇要说的矩阵LU分解是矩阵求逆的重要组成部分,所以就算大家不需要做矩阵求逆,对其先有个整体的认识也是好的。(矩阵求逆的整体框图还是很好理解的 ,甚至你只要瞟一眼图就好)。1矩阵求逆的整体框图矩阵求逆的步骤如下:1.原始矩阵A通过LU分解为上三角矩阵L与单位下三角矩阵U。2.分别通过三角矩阵的求逆运算得到L逆和U逆。3.最后通过矩阵乘法得到A逆。显而易见,矩阵求逆由如下三个部分组成:1.LU矩阵分解2.三角矩阵

2021-07-10 11:07:56 4194

原创 Vivado的一些tcl命令记录(待补充)

Vivado的一些tcl命令记录(待补充)1.Report Clock Networksreport_clock_networks -name {network_1}2.分析设计中逻辑级数的分布report_design_analysis -logic_level_distribution -logic_level_dist_paths 5000 -name design_analysis_prePlace3.筛选"clk"时钟域逻辑级数在[a,b]之间的c条路径report_timing -n

2021-07-10 11:03:34 1152 1

HDMI1.4输出彩条显示含verilog代码实现

hdmi 1.4协议,verilog实现,代码结构清晰,简单易懂,方便移植,可用于相关项目。 工程对应博客地址:https://blog.csdn.net/u012938458/article/details/130793157?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22130793157%22%2C%22source%22%3A%22u012938458%22%7D

2023-05-22

sobel边缘检测verilog实现

包含串口收发、sobel边缘检测、vga显示模块verilog代码 博客地址:https://blog.csdn.net/u012938458/article/details/130657620

2023-05-14

正弦波发生器,包括(功能文件,测试文件,仿真文件、工程等)

正弦波发生器,博客地址https://blog.csdn.net/u012938458/article/details/130657128?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22130657128%22%2C%22source%22%3A%22u012938458%22%7D

2023-05-13

lattice ddr3 demo

lattice ddr3 demo

2023-05-10

hdmi 1.4 spec

hdmi 1.4 spec 学习hdmi视频接口协议的好资源

2023-05-10

prbs9 verilog代码

包含prbs9生成器、验证器,已仿真通过

2023-04-24

MT40A2G4 MT40A1G8 MT40A512M16 DDR4 SDRAM 数据手册.pdf

MT40A2G4 MT40A1G8 MT40A512M16 DDR4 SDRAM 数据手册.pdf,该文档是镁光得DDR手册,支持标题所述型号,以及不同得速率。

2020-07-14

pg150-ultrascale-memory-ip.pdf

Xilinx pg150-ultrascale-memory-ip官方文档,可参考DDR3,DDR4得相关设计说明及时序,有助于对DDR得理解。

2020-07-14

crc32校验原理文档

crc校验文档,crc32的推导过程,便于理解crc。8bit并行产生CRC32位校验码。加在输入数据的最后。 例如,连续输入256bit后产生的32位校验码需要加在256bit之后。原始输入的数据按原型输出。(根据IEEE协议需要把CRC的结果进行按位取反)

2018-05-08

FS13_2_5滤波器设计

滤波器设计软件,滤波器参数获取,比起matlab更加简单

2015-12-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除