FIFO的使用和应用场景

1fifo可以保持数据的合理性(frist in first out )

先进先出型存储器, 没有地址,只能顺序存取。FIFO的基本应用场景?fpga内部有一个16位的计数器,以50mhz的频率计数,此时,我们希望随机截取计数器连续256个计数周期的值发到电脑上进行分析处理。用串口发送到电脑上,(数据产生速率大于数据消费速率)此时需要使用存储器先将这256个数据存储起来,再由串口慢慢发送到电脑上,

对于fifo来说,写入的数据是16位,读出的数据可能是16位或者8位,

任意脉冲信号发生器:由电脑通过串口发送256个14位的数据到FPGA,FPGA再把这256个数据,以50MHZ的输出速率送给DAC,产生脉冲信号。需要FPGA将256个数据完全接收到并且存储后,再一次性送给DAC输出。

串口接收的clk = 50MHZ

DAC输出的clk = 125mhz

写入的数据可能会是8位或者16位,读出的数据是16位。full信号用于针对fifo写满了的情况

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FIFO(First-In-First-Out)是一种常用的数据结构,用于在数据流中存储和传输数据。它可以在不同的场景中使用,并且使用方法也有所不同。 FIFO使用场景包括但不限于以下几个方面: 1. 数据缓存:FIFO可以用作数据缓存,用于临时存储数据,以便后续处理。在这种情况下,大的FIFO可以使用内部BLOCK RAM资源,而小的FIFO可以使用寄存器资源进行实现\[2\]。 2. 数据传输:FIFO可以用于数据的传输和交换。例如,在通信系统中,FIFO可以用于存储接收到的数据,然后按照一定的顺序进行处理和传输。 3. 数据流控制:FIFO可以用于控制数据流的速率。通过调整FIFO的读写速度,可以实现数据的流量控制,以避免数据丢失或溢出。 FIFO使用方法取决于具体的应用场景和需求: 1. 宽度选择:FIFO的宽度是指一次读写操作的数据位数。在单片成品IC中,FIFO的宽度是固定的,但在使用FPGA自己实现FIFO时,宽度可以自己定义\[1\]。 2. 同步与异步:FIFO可以分为同步FIFO和异步FIFO。同步FIFO的读写操作使用相同的时钟,而异步FIFO的读写操作使用不同的时钟。选择同步FIFO还是异步FIFO取决于具体的设计需求和时钟域的要求\[2\]。 3. 存储和读取:数据可以通过写入操作存储到FIFO中,然后通过读取操作从FIFO中读取出来。在设计中,可以根据需要选择合适的存储和读取策略,例如使用大的FIFO作为主缓存,而小的FIFO用于写入和读取的控制\[3\]。 总之,FIFO是一种常用的数据结构,可以在不同的场景中使用使用FIFO时,需要根据具体的应用需求选择合适的宽度、同步方式和存储读取策略。 #### 引用[.reference_title] - *1* *2* *3* [fifoFPGA中的应用小结](https://blog.csdn.net/doghan11/article/details/69263945)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值