FPGA之乒乓操作

RTL代码

module fsm(
	input clk,
	input rst_n,
	input [7:0]data_in,
	output reg[7:0]data_out
);

	reg [7:0]data_buffer1;
	reg [7:0]data_buffer2;
	reg wr_buf1;
	reg wr_buf2;
	
	reg [1:0]c_state;
	reg [1:0]n_state;
	parameter s0 = 2'b01;
	parameter s1 = 2'b10;
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			c_state <= s0;
		else
			c_state <= n_state;
	end
	
	always@(*)begin
		case(c_state)
			s0:begin
				n_state <= s1;
			end
			s1:begin
				n_state <= s0;
			end
			default:n_state <= s0;
		endcase
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			wr_buf1 <= 1'b0;
			wr_buf2 <= 1'b0;
		end
		else if(n_state <= s0)begin
			wr_buf1 <= 1'b1;
			wr_buf2 <= 1'b0;
		end
		else if(n_state <= s1)begin
			wr_buf1 <= 1'b0;
			wr_buf2 <= 1'b1;
		end
		else begin
			wr_buf1 <= 1'b0;
			wr_buf2 <= 1'b0;
		end
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			data_buffer1 <= 'd0;
			data_buffer2 <= 'd0;
		end
		else if(wr_buf1)begin
			data_buffer1 <= data_in;
			data_buffer2 <= data_buffer2;
		end
		else if(wr_buf2)begin
			data_buffer2 <= data_in;
			data_buffer1 <= data_buffer1;
		end
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			data_out <= 'd0;
		end
		else if(wr_buf1)begin
			data_out <= data_buffer2;
		end
		else if(wr_buf2)begin
			data_out <= data_buffer1;
		end
	end


endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_44985628

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值