VHDL 交通灯

交通灯变换说明

Entity traffic is
Port(clk,rst:in std_logic;
         led:out std_logic_vector(5 downto 0));
end traffic;
Architecture behavior of traffic is
signal cnt:std_logic_vector(5 downto 0);
type traffic_st is(westg,westy,northg,northy);
signal st_traffic:traffic_st;
begin
process(clk,rst)
begin
if rst='0' then
    cnt<="000000"
    led<="000000";
    st_traffic<=westg;
elsif rising_edge(clk) then
    case st_traffic is
    when westg=>
    led<="001100";
    if cnt=29 then
        cnt<="000000";
        st_traffic<=westy;
    else
        cnt<=cnt+1;
    end if;
    when westy=>
    led<="010100";
    if cnt=5 then
        cnt<="000000";
        st_traffic<=northg;
    else
        cnt<=cnt+1;
    end if;
    when northg=>
    led<="100001";
    if cnt=29 then    
        cnt<="000000";        
        st_traffic<=northy;    
    else
        cnt<=cnt+1;    
    end if;
    when northy=>
    led<="100010";    
    if cnt=5 then  
        cnt<="000000";        
        st_traffic<=westg; 
    else        
        cnt<=cnt+1;   
    end if;
    when others=>
    end case;
end if;
end process;
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值