IC 术语

本文介绍了集成电路设计中的关键概念和技术,包括模拟信号到数字信号的转换(ADC),ARM处理器,专用集成电路(ASIC)设计,电子设计自动化(EDA)工具,现场可编程门阵列(FPGA)以及设计-for-test(DFT)方法。此外,还涉及了系统级芯片(SoC)、CPU、数字信号处理(DSP)和复杂的版图设计流程,如时序分析和物理验证。
摘要由CSDN通过智能技术生成
  • ADC Analog to Digital Convert 模拟信号到数字信号的转换电路
  • AHB Advanced High Performance Bus ARM公司推出的AMBA总线规范之一,主要用于高性能模块(如CPU、DMA和DSP等)之间的连接
  • APR Auto place and route 自动布局布线,是数字后端版图实现的主要流程
  • ARM Acorn RISC Machine 英国ARM公司,手机或者移动芯片中常用的CPU处理器,现在低功耗设计中基本都采用ARM CPU
  • ASIC Application Special Integrated Circuit 专用集成电路,芯片设计公司的主流设计流程
  • ATPG Auto Test Pattern Generator 测试向量自动生成工具,DFT中的常见流程
  • AXI Advanced eXtensible Interface ARM公司推出的AMBA总线规范之一
  • BE Back End 后端,指IC设计中的后端设计流程
  • BIST Build in System Test 内建测试系统,DFT中的常见流程
  • CAD Computer Aided Design 计算机辅助设计,也是IC设计公司中的一个部门,专门帮助提供软件自动化
  • CDC clock domain crossing 异步时钟时序检查,是数字设计中的重要步骤
  • COVERAGE 覆盖率,数字验证常用术语,主要有代码覆盖率和功能覆盖率等
  • CPLD Complex Programmable Logic Device 复杂可编程器件,和FPGA类似
  • CTS Clock tree synthesis 时钟树综合,是数字后端实现中的重要流程
  • DAC Digital to Analog Convert 数字信号到模拟信号的转换电路
  • DC design compiler synopsys公司的数字综合工具
  • DFT Design for Test 为了增强芯片可测性而采用的一种设计方法,是数字IC流程中的重要步骤
  • DMA Direct Memory Access 直接内存存取
  • DRAM Dynamic Random Access Memory 动态随机存取存储器,最为常见的系统内存
  • DRC Design Rule Check 生成版图后检查其是否符合工艺厂提供的设计规则,如宽度、间距、面积等。
  • DSP Digital Signal Processing 数字信号处理模块,IC设计公司的算法实现经常采用
  • DUT design under test 待测试的设计模块
  • DUV design under verification 和DUT的意思类似
  • ECO Engineering Change Order 在项目后期,只能在门级对芯片设计进行修改
  • EDA Electronic Design Automation 电子设计自动化,IC设计流程中需要使用非常多的EDA工具
  • EEPROM Electrically Erasable Programmable Read  Only Memory 电可擦除只读存储器
  • ERC Electronic Rule Check IC设计经过Layout后检查其版图是否符合电气规则
  • FE Front End 前端,数字IC设计中的前端设计流程
  • FLASH Flash EEPROM Memory 闪存,同时具有RAM快速读取数据的特点与EEPROM的可擦除及非易失性。
  • FM formal 形式验证,网表与verilog进行比较
  • Foundry 指芯片制造加工厂的代工业务,负责将设计完成的芯片生产出来
  • FPGA Field Programmable Gate Array 现场可编程门阵列,与ASIC流程相对应
  • FSDB 数字IC设计中常用的波形文件格式
  • FSM Finite state machine 数字逻辑设计中的有限自动状态机
  • FULLCHIP fullchip level 常用于数字前端设计和验证,指系统级和芯片级
  • GDSII 版图layout的文件格式
  • GLS gate level simulation 指数字验证中的门级仿真
  • GPIO General Purpose Input Output 通用输入/输出,总线扩展器
  • HDMI High Definition Multimedia Interface 高清晰度多媒体接口,是一种数字化视频/音频接口技术规范
  • I2C Inter-Integrated Circuit IIC是一种常用的多向控制总线,简单,只有两根线
  • IC Integrated Circuit 集成电路
  • ICC IC Compiler synopsys公司用于自动布局布线的一款软件,很多公司都在用
  • IEEE Institute of Electrical and Electronics  Engineers 电气和电子工程师协会
  • INNOVUS cadence公司的数字版图实现工具
  • IP Intellectual Property 知识产权,数字IC设计中一般将最小的设计模块成为IP
  • JTAG Joint Test Action Group 联合测试工作组,是一种国际标准测试协议,多用于芯片测试用
  • Layout 版图,指芯片最终生成的版图,类似于建筑行业中的设计图纸
  • LPS low power simulation 低功耗仿真,多用于低功耗设计验证中
  • LSI Large-scale intergrated circuit 大规模集成电路
  • LUT Look Up Table 查找表,用于存一些数据,本质就是一个RAM
  • LVS Layout versus Schematic 版图与电路图一致性检查,变成版图后检查其版图与门级电路是否一致
  • MCU Microcontroller unit 微控制器,主控模块
  • MIPI Mobile Industry Processor Interface 移动产业处理器接口,为移动应用处理器制定的开放标准和一个规范
  • Modelsim mentor公司的数字前端仿真工具,也叫QUESTASIM
  • MPW Multiple Project Wafer 多项目晶圆投片,指在同一种工艺的不同芯片放在同一块晶圆(Wafer)上流片,是小公司节省成本的有效手段
  • MSB Most Significant Bit 一个多bit数据的最高有效位,相对应的概念是LSB
  • NCSIM cadence公司的数字前端仿真工具
  • NDR Non-Default Route 非默认连线规则,版图实现中的重要概念
  • Netlist 门级网表,一般是RTL Code经过综合工具生成的网表文件
  • NFC Near Field Communication 一种近距离无线通讯技术
  • OCP Open Core Protocol 一个高效的、总线独立的、可配置和高度可扩展的接口协议
  • PAD 指芯片的input/output 端口
  • PBA Path-based analyze 基于路径的时序分析
  • PCIe Peripheral Component Interconnect Express 外设组件互连标准,一种常见的总线标准
  • PD Physical design 物理设计,一般指数字后端的版图设计
  • PERL 数字IC设计常用的一种脚本语言,非常适合文本处理
  • PLL Phase Locked Loop 锁相环,一般用于时钟性倍频电路,用来产生时钟clock
  • PT prime time synopsys公司的静态时序分析工具
  • PV Physical verification 物理验证,数字版图实现后需要做的验证
  • Python 常用的脚本语言,现在在人工智能方面使用很多,大受欢迎
  • R&D research and design 研发中心
  • RAM Random Access Memory 随机存储器
  • REGRESSION 回归测试,简单来说就是讲所有的测试用例不断的重复的跑,直到没有错误稳定一段时间
  • RF Radiation Frequency 发射频率,射频电路
  • RISC Reduced Instruction Set Computer 用于CPU中的精简指令集
  • ROM Read Only Memory 只读存储器,具有非易失性。
  • RTL Register Transformation Level 寄存器传输级,多指使用verilog来描述的层次
  • Shell 数字IC设计常用的一种脚本语言,和linux结合紧密
  • SI Signal Integrity 信号完整性
  • signoff 验收机制,验收标准
  • SoC System on Chip 片上系统,一般指规模比较大的芯片,大多含有CPU/MCU等
  • SPEC specification 说明书,规范,每个岗位工程师都要写相应的spec
  • SPI Serial Peripheral Interface 串行外设接口,是一种高速的,全双工,同步的通信总线
  • SRAM Static Random Access Memory 静态随机存取存储器
  • STA Static Timing Analysis 静态时序分析,数字IC设计流程中的重要环节
  • SV systemverilog 主流的数字验证语言
  • Tapout 流片,将最终的版图文件送到工艺厂去生产
  • TCL Tool Command Language 工具命令语言。数字后端设计中常用的脚本语言
  • tessent mentor公司的DFT工具,市场占有率很高
  • Testbench 测试平台,数字验证搭建用来测试的平台
  • TTL Transistor-Transistor Logic TTL电平标准,规定+5V等价于逻辑1,0V等价于逻辑0
  • UART Universal Asynchronous  Receiver/Transmitter 通用异步收发传输器,一种常见的IP模块
  • USB Universal Serial Bus 通用串行总线,一种高速的连接外设的总线协议
  • UVM Universal Verification Methodology 主流的数字验证方法学,基于systemverilog
  • VCD value change dump 一个通用的波形文件格式,信息详细,但文件较大
  • VCS synopsys公司的数字前端仿真工具
  • Verdi synopsys公司的数字前端debug工具
  • VHDL VHSIC(Very High Speed IC) Hardware  Description Language 一种硬件描述语言,和verilog类似,现在使用的公司不多了
  • Vivado Vivado FPGA厂商赛灵思公司2012年发布的集成设计环境
  • VLSI Very-large-scale integrated circuit 超大规模集成电路
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值