vivado 遇到问题解决集锦

仅作学习记录  侵权联删
1.
VIVADO Verilog 头文件添加方法

(1)

Set the include.v file as global included and set its file_type to "Verilog Header". 


If file_type is not set to Verilog Header, the include file will be treated as an ordinary Verilog file which can be referred by the other Verilog files and this causes the error above.


set_property file_type "Verilog Header" [get_files ../source_inclu/include.v]

set_property is_global_include true [get_files ../source_inclu/include.v]

此问题原文链接:https://blog.csdn.net/qq_35510213/article/details/119774645




















  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值