VIVADO Verilog 头文件添加方法

有3种方法

1 :

Set the include.v file as global included and set its file_type to "Verilog Header". 

If file_type is not set to Verilog Header, the include file will be treated as an ordinary Verilog file which can be referred by the other Verilog files and this causes the error above.

set_property file_type "Verilog Header" [get_files ../source_inclu/include.v]

set_property is_global_include true [get_files ../source_inclu/include.v]

2 :

Do not add the include.v file into project sources or read the include file in non-project mode. 

 

Set the include_dirs option to the location of the include.v file in the synth_design command or Synthesis settings.

synth_design -top top -part xc7k70tfbg484-2 -include_dirs {../source_inclu}

参考数据手册:Xilinx Answer 54006

3 软件GUI 设置:

 

 

 

  • 6
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值