解决分频模块modelsim下仿真输出为stx的错误

以下为uart的一个例程,以该程序为例,在modelsim下出现输出分频信号为stx型,也就是不确定信号,下面分析一下原因:

module uart_clkdiv(clk, rst_n, clkout);

input clk;
input rst_n;
output clkout;

reg clkout;
reg [15:0] cnt;

always @(posedge clk or negedge rst_n)
begin
    if (!rst_n) 
    begin
        cnt <= 16'b0;
        clkout <= 1'b0;
    end

    else if(cnt == 16'd1)//pc communication set 13
    begin
        clkout <= 1'b1;
        cnt <= cnt + 16'd1;
    end
    else if(cnt == 16'd3)//pc communication set 26
    begin
        clkout <= 1'b0;
        cnt <= 16'd0;
    end
    else
    begin
        cnt <= cnt + 16'd1;
    end
end

endmodule

绿色部分为改过后的代码。出错的原因就在于没有复位信号使各变量cnt clkout 产生一个初始值,才导致输出为不确定。在仿真的时候testbench中应先对复位信号拉低几个时钟周期使信号复位。因此在仿真的时候需要对各变量的初值明确指定,防止出现不确定信号。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_46475176

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值