FPGA数字时钟代码实现(可暂停调数)

该博客详细介绍了如何在FPGA中实现一个带有暂停、数字调整和消抖功能的数字时钟。通过三个主要模块——1s计数模块、20us计数模块和消抖模块,实现了精确的时钟计数。消抖模块采用延时技术确保按键稳定后才执行相应操作,同时提供了暂停和调整数字的功能。计数模块采用计数器逐位进位的方式,避免了取余运算,简化了设计。
摘要由CSDN通过智能技术生成

这里使用的计数方法是6个计数器分别计数时,分,秒的个位和十位,计数满了则使进位符置1,给到下一位,这个方法不需要进行取余取整操作,使用触发器资源较多,但节省组合逻辑资源。

1、计数1s模块代码

module count(
    input wire clk,
    input wire rst_n,
    input wire ok,
    output reg flag1
);
 
reg[25:0] cnt;
//parameter MAX=24'd31250;//飞驰版54秒24小时 (上板时也可以使用这个,可以看到数码管显示很快)
parameter MAX=26'd50_000_000;//1s   (上板时使用这个)
//parameter MAX=20'd1000_000;//测试    (modelsim时使用这个)
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        begin
            cnt<=26'd0;
            flag1<=1'd0;
        end
    else if(cnt

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_46475176

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值