Quartus 18.0+Modelsim:多路选择器的仿真

一、波形

在这里插入图片描述
当sel为低电平时选择in_2,为高电平时选择in_1.

二、代码

module mux2_1
(
//[0:0]意思是位宽为1
input wire [0:0] in_1,
input wire       in_2,
input wire       sel,
output reg       out
);
always@(*)
//*相当于sel,in_1,in_2
if(sel==1'b1)//如果只有一条语句,begin end可以省略
out=in_1;
/*begin

end
else if()
begin

end
else
begin

end*/
else
out=in_2;



/*always@(*)
case(sel)
1'b1 : out=in_1;
1'b0 : out=in_2;
default : out=in_1;
endcase*/



/*assign out=(sel==1'b1)?in_1:in_2;*/
//assign不能给reg型变量赋值,只能给net型赋值,故out要定义为wire型
endmodule

三、测试代码

`timescale 1ns/1ns
module tb_mux2_1();
reg in_1;//用reg是因为下面要在always中进行赋值,,而wire不可以
reg in_2;
reg sel;
wire out;//输出信号要引出,便于信号观察
initial 
begin
in_1<=1'b0;
in_2<=1'b0;
sel<=1'b0;//输入定义为低电平
end
//begin end顺序执行,无延时时几乎没有差别
//接下来对三个输入信号进行随机数的赋值
always #10 in_1<={$random}%2;
//产生的随机数对2进行求余,则结果只有0和1,即低电平和高电平的变换
//#表时间延时,#10表延时10个时间单位
always #10 in_2<={$random}%2;
always #10 sel<={$random}%2;
//为了便于观察,这里加入系统函数
initial
begin
$timeformat(-9,0,"ns",6);
//-9表示10e-9,即纳秒,(-9,3)表示小数点后精确3位,这里是精确0位;如果是-3,“”里应该写毫秒,6是打印的最小数字字符
$monitor("@time %t:in_1=%b in_2=%b sel=%b out=%b",$time,in_1,in_2,sel,out);
end

mux2_1 mux2_1_inst //模块名和实例化,实例化一次就是inst1或不加数字,两次是inst2
(
//[0:0]意思是位宽为1
.in_1(in_1),//将仿真模块中生成的in_1与被仿真模块in_1相连接
.in_2(in_2),
.sel (sel),
.out (out)
);
endmodule
  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小年痴槑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值