FPGA学习笔记(五):DDS IP核的使用

笔记五是简单介绍DDS IP核的使用,它是可以产生任意频率正弦波的模块。此笔记同时输出波形和相位,一般可以不输出相位。

 1. 打开VIVADO,点击IP Catalog

 

 2.搜索DDS,选择DDS Compiler

 

 3. 配置参数

(1) 设置主频频率 50MHz

 

(2) 选择sine,并且勾选Has Phase Out(相位输出)

 

 (3) 勾选Output TREDAY

 (4) 输入频率

 

 (5) 同上述步骤,再加一个DDS IP核

4. 测试文件 

module tb_dds;
// 时钟clk
reg clk;
// 数据准备信号
reg m_axis_data_tready_1;
reg m_axis_data_tready_2;
// 相位准备信号
reg m_axis_phase_tready_1;
reg m_axis_phase_tready_2;
// 数据输出有效信号
wire m_axis_data_tvalid_1;
wire m_axis_data_tvalid_2;
// 相位输出有效信号
wire m_axis_phase_tvalid_1;
wire m_axis_phase_tvalid_2;
// 输出频率和相位
wire [31:0] dout_pha_1;
wire [7:0] dout_fre_1;

wire [31:0] dout_pha_2;
wire [7:0] dout_fre_2;
// 例化
dds_compiler_0 U1 (
  .aclk(clk),                                // input wire aclk
  .m_axis_data_tvalid(m_axis_data_tvalid_1),    // output wire m_axis_data_tvalid
  .m_axis_data_tready(m_axis_data_tready_1),    // input wire m_axis_data_tready
  .m_axis_data_tdata(dout_fre_1),      // output wire [7 : 0] m_axis_data_tdata
  .m_axis_phase_tvalid(m_axis_phase_tvalid_1),  // output wire m_axis_phase_tvalid
  .m_axis_phase_tready(m_axis_phase_tready_1),  // input wire m_axis_phase_tready
  .m_axis_phase_tdata(dout_pha_1)    // output wire [31 : 0] m_axis_phase_tdata
);

dds_compiler_1 U2 (
  .aclk(clk),                                // input wire aclk
  .m_axis_data_tvalid(m_axis_data_tvalid_2),    // output wire m_axis_data_tvalid
  .m_axis_data_tready(m_axis_data_tready_2),    // input wire m_axis_data_tready
  .m_axis_data_tdata(dout_fre_2),      // output wire [7 : 0] m_axis_data_tdata
  .m_axis_phase_tvalid(m_axis_phase_tvalid_2),  // output wire m_axis_phase_tvalid
  .m_axis_phase_tready(m_axis_phase_tready_2),  // input wire m_axis_phase_tready
  .m_axis_phase_tdata(dout_pha_2)    // output wire [31 : 0] m_axis_phase_tdata
);
// 初始化
initial 
begin
    clk = 0;					// 时钟clk为0
    m_axis_data_tready_1 = 1;	// 数据准备信号为1
    m_axis_phase_tready_1 = 1;	// 相位准备信号为1
    m_axis_data_tready_2 = 1;	// 数据准备信号为1
    m_axis_phase_tready_2 = 1;	// 相位准备信号为1
end

always #10 clk = ~clk;			// clk周期为20ns

endmodule

 5. 结果展示

 

 

 

 

  • 5
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

菜yuan~

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值