Verilog语言学习 task语句 交通灯

module exa0609(
clock,red,amber,green
);
input clock;
output red,green,amber;
wire clock;
reg red,green,amber;
parameter on=1,off=0,red_tics=350,amber_tics=30,green_tics=200;
initial red=off;
initial amber=off;
initial green=off;
//交通灯控制时序
always 
begin
    red=on;
    light(red,red_tics);
    green=on;
    light(green,green_tics);
    amber=on;
    light(amber,amber_tics);
end
task light;
    output color;
    input [31:0]tics;
    begin
        repeat(tics)
            @(posedge clock)
        color=off;
    end  
endtask
    endmodule
module tb();
reg clock;
wire red,amber,green;
initial begin
    clock=1'b1;
end
always #5 clock=~clock;
exa0609 uut(
    .clock(clock),
    .red(red),
    .amber(amber),
    .green(green)
);
endmodule

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值