锁相环学习电路+配套文档

锁相环学习电路+配套文档!!

对新手非常友好,一看就懂

锁相环电路参数:

输出频率1.92GHz,采用LC_VCO

输入参考频率30MHz

分频器是64分频

电荷泵电流100uA

工艺是Gpdk45nm

每个模块都有单独的testbench

还有非常详细的设计报告,参考资料,[火]还有matlab,verilog-a建模推导。

cadence官方手把手教你仿真文档

锁相环的理论推导,相位噪声

呈现最完整的project,大量高清仿真截图和电路图,非常适合新手学习做报告。

e251f12e3f6240079715b2a045909199.png

5316d5df753f451083f5b03ec0a634ce.jpg

 

 

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值